首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 687 毫秒
1.
In this work, diamond-like carbon (DLC) films were deposited on stainless steel substrates with Si/SiC intermediate layers by combining plasma enhanced sputtering physical vapour deposition (PEUMS-PVD) and microwave electron cyclotron resonance plasma enhanced chemical vapour deposition (MW-ECRPECVD) techniques. The influence of substrate negative self-bias voltage and Si target power on the structure and nano-mechanical behaviour of the DLC films were investigated by Raman spectroscopy, nano-indentation, and the film structural morphology by atomic force microscopy (AFM). With the increase of deposition bias voltage, the G band shifted to higher wave-number and the integrated intensity ratio ID/IG increased. We considered these as evidences for the development of graphitization in the films. As the substrate negative self-bias voltage increased, particle bombardment function was enhanced and the sp^3-bond carbon density reducing, resulted in the peak values of hardness (H) and elastic modulus (E). Silicon addition promoted the formation of sp^3 bonding and reduced the hardness. The incorporated Si atoms substituted sp^2- bond carbon atoms in ring structures, which promoted the formation of sp^3-bond. The structural transition from C-C to C-Si bonds resulted in relaxation of the residual stress which led to the decrease of internal stress and hardness. The results of AFM indicated that the films was dense and homogeneous, the roughness of the films was decreased due to the increase of substrate negative self-bias voltage and the Si target power.  相似文献   

2.
张振宇  路新春  雒建斌 《中国物理》2007,16(12):3790-3797
A novel method, pulsed laser arc deposition combining the advantages of pulsed laser deposition and cathode vacuum arc techniques, was used to deposit the diamond-like carbon (DLC) nanofilms with different thicknesses. Spectroscopic ellipsometer, Auger electron spectroscopy, x-ray photoelectron spectroscopy, Raman spectroscopy, atomic force microscopy, scanning electron microscopy and multi-functional friction and wear tester were employed to investigate the physical and tribological properties of the deposited films. The results show that the deposited films are amorphous and the sp$^{2}$, sp$^{3}$ and C--O bonds at the top surface of the films are identified. The Raman peak intensity and surface roughness increase with increasing film thickness. Friction coefficients are about 0.1, 0.15, 0.18, when the film thicknesses are in the range of 17--21~nm, 30--57~nm, 67--123~nm, respectively. This is attributed to the united effects of substrate and surface roughness. The wear mechanism of DLC films is mainly abrasive wear when film thickness is in the range of 17--41~nm, while it transforms to abrasive and adhesive wear, when the film thickness lies between 72 and 123~nm.  相似文献   

3.
This paper reports that DLC (diamond like carbon)/Ti and DLC films were prepared by using pulsed laser arc deposition. R-ray diffraction, Auger electron spectroscopy, Raman spectroscopy, atomic force microscopy, nanoindenter, spectroscopic ellipsometer, surface profiler and micro-tribometer were employed to study the structure and tribological properties of DLC/Ti and DLC films. The results show that DLC/Ti film, with $I(D)/I(G)$ 0.28 and corresponding to 76{\%} sp$^{3}$ content calculated by Raman spectroscopy, uniform chemical composition along depth direction, 98 at{\%} content of carbon, hardness 8.2 GPa and Young's modulus 110.5 GPa, compressive stress 6.579 GPa, thickness 46~nm, coefficient of friction 0.08, and critical load 95mN, exhibits excellent mechanical and tribological properties.  相似文献   

4.
Highly epitaxial YBa2Cu3O7-δ (YBCO) and yttria-stabilized zirconia (YSZ) bilayer thin films have been deposited on silicon-on-insulator (SOI) substrates by using in situ pulsed laser deposition (PLD) technique. In the experiment, the native amorphous SiO2 layers on some of the SOI substrates are removed by dipping them in a 10% HF solution for 15 s. Comparing several qualities of films grown on substrates with or without HF pretreatment, such as thin film crystallinity, general surface roughness, temperature dependence of resistance, surface morphology, as well as average crack spacing and crack width, naturally leads to the conclusion that preserving the native SiO2 layer on the surface of the SOI substrate can not only simplify the experimental process but can also achieve fairly high quality YSZ and YBCO thin films.  相似文献   

5.
基于原子运动模型的类金刚石薄膜生长机理研究   总被引:2,自引:0,他引:2       下载免费PDF全文
马天宝  胡元中  王慧 《物理学报》2007,56(1):480-486
利用分子动力学模拟方法,从原子尺度上研究了类金刚石(DLC)薄膜生长过程. 按照运动特点把入射原子在表面的行为分为表面冷冻、迁移、注入和反弹等四种,并由此提出原子运动模型. 入射原子的表面行为对DLC薄膜的微观结构以及生长方式有重要影响. 其中原子水平迁移是薄膜热弛豫的主要途径,入射原子的注入和迁移行为相互竞争,决定了薄膜生长的模式和最终结构. 利用统计分析手段给出了入射能量对原子表面行为进而对薄膜结构的影响,加深了对DLC薄膜生长机理的认识.  相似文献   

6.
The kinetic energy of ions in dielectric barrier discharge plasmas are analysed theoretically using the model of binary collisions between ions and gas molecules. Langevin equation for ions in other gases, Blanc law for ions in mixed gases, and the two-temperature model for ions at higher reduced field are used to determine the ion mobility. The kinetic energies of ions in CH4 + Ar(He) dielectric barrier discharge plasma at a fixed total gas pressure and various Ar (He) concentrations are calculated. It is found that with increasing Ar (He) concentration in CH4 + Ar (He) from 20% to 83%, the CH4+ kinetic energy increases from 69.6 (43.9) to 92.1 (128.5)eV, while the Ar+ (He+) kinetic energy decreases from 97 (145.2) to 78.8 (75.5)eV. The increase of CH4+ kinetic energy is responsible for the increase of hardness of diamond-like carbon films deposited by CH4 + Ar (He) dielectric barrier discharge without bias voltage over substrates.  相似文献   

7.
杨恢东  苏中义 《中国物理》2006,15(6):1374-1378
The role of hydrogen in hydrogenated microcrystalline silicon ($\mu $c-Si:H) thin films in deposition processes with very high frequency plasma-enhanced chemical vapour deposition (VHF-PECVD) technique have been investigated in this paper. With \textit{in situ} optical emission spectroscopy (OES) diagnosis during the fabrication of $\mu $c-Si:H thin films under different plasma excitation frequency $\nu _{\rm e }$ (60MHz--90MHz), the characteristic peak intensities ($I_{{\rm SiH}^*}$, $I_{{\rm H}\alpha^*}$ and $I_{{\rm H}\beta ^*}$) in SiHVHF-PECVD技术 氢化微晶硅 光发射光谱 薄膜学VHF-PECVD technique, hydrogenated microcrystalline silicon, role of hydrogen, optical emission spectroscopyProject supported by the Natural Science Foundation of Guangdong Province, China (Grant No 05300378), the State Key Development Program for Basic Research of China (Grant Nos G2000028202 and G2000028203) and the Program on Natural Science of Jinan University, Guangzhou, China (Grant No 51204056).2005-11-252005-11-252006-01-05The role of hydrogen in hydrogenated microcrystalline silicon (μc-Si:H) thin films in deposition processes with very high frequency plasma-enhanced chemical vapour deposition (VHF-PECVD) technique have been investigated in this paper. With in situ optical emission spectroscopy (OES) diagnosis during the fabrication of μc-Si:H thin films under different plasma excitation frequency Ve (60MHz-90MHz), the characteristic peak intensities (IsiH*, IHα* and IHβ* ) in SiH4+H2 plasma and the ratio of (IHα* + IHβ* ) to IsiH* were measured; all the characteristic peak intensities and the ratio (IHα* + IHβ* )/IsiH* are increased with plasma excitation frequency. It is identified that high plasma excitation frequency is favourable to promote the decomposition of SiH4+H2 to produce atomic hydrogen and SiHx radicals. The influences of atomic hydrogen on structural properties and that of SiHx radicals on deposition rate of μc-Si:H thin films have been studied through Raman spectra and thickness measurements, respectively. It can be concluded that both the crystalline volume fraction and deposition rate are enhanced with the increase of plasma excitation frequency, which is in good accord with the OES results. By means of FTIR measurements, hydrogen contents of μc-Si:H thin films deposited at different plasma excitation frequency have been evaluated from the integrated intensity of wagging mode near 640 cm^-1. The hydrogen contents vary from 4% to 5%, which are much lower than those of μc-Si:H films deposited with RF-PECVD technique. This implies that μc-Si:H thin films deposited with VHF-PECVD technique usually have good stability under light-soaking.  相似文献   

8.
The effect of ZnO under layers on crystal growth of TiN thin films was investigated. TiN single layers and double-layered ZnO/TiN thin films were deposited on soda-lime-silicate glass substrates by magnetron sputtering. XRD analysis indicated that TiN single layers exhibited {1 1 1} preferred orientation on glass substrates; on the other hand, the TiN thin films with {1 0 0} preferred orientation were obtained using ZnO under layers and crystallized better than the TiN single layers. This crystal orientation change of TiN thin films should come from heteroepitaxial-like growth because the TiN{1 0 0} and ZnO{0 0 1} crystal lattice planes have similar atomic arrangements. Besides, the possible mismatch between TiN and ZnO atomic arrangements was estimated to be 7.8%. Furthermore, the resistivity and optical absorbance of TiN thin films decreased when they were deposited on ZnO under layers. It can be considered that electrical and optical properties should be improved due to the well-crystallization of TiN thin films using ZnO under layers.  相似文献   

9.
郭辉  张义门  乔大勇  孙磊  张玉明 《中国物理》2007,16(6):1753-1756
This paper reports that the nickel silicide ohmic contacts to n-type 6H-SiC have been fabricated. Transfer length method test patterns with NiSi/SiC and NiSi硅化镍;欧姆触点;n型碳化硅;制造;能带;带隙Project supported by the National Basic Research Program of China (Grant No~2002CB311904), the National Defense Basic Research Program of China (Grant No~51327010101) and the National Natural Science Foundation of China (Grant No~60376001).2006-09-192006-10-30This paper reports that the nickel silicide ohmic contacts to n-type 6H-SiC have been fabricated. Transfer length method test patterns with NiSi/SiC and NiSi2/SiC structure axe formed on N-wells created by N^+ ion implantation into Si-faced p-type 6H-SiC epilayer respectively. NiSi and NiSi2 films are prepared by annealing the Ni and Si films separately deposited. A two-step annealing technology is performed for decreasing of oxidation problems occurred during high temperature processes. The specific contact resistance Pc of NiSi contact to n-type 6H-SiC as low as 1.78× 10^-6Ωcm^2 is achieved after a two-step annealing at 350 ℃for 20 min and 950℃ for 3 min in N2. And 3.84×10-6Ωcm^2 for NiSi2 contact is achieved. The result for sheet resistance Rsh of the N+ implanted layers is about 1210Ω/□. X-ray diffraction analysis shows the formation of nickel silicide phases at the metal/n-SiC interface after thermal annealing. The surfaces of the nickel silicide after thermal annealing are analysed by scanning electron microscope.  相似文献   

10.
This paper investigates the procedure of cubic boron nitride (cBN) thin film delamination by Fourier-transform infrared (IR) spectroscopy. It finds that the apparent IR absorption peak area near 1380cm^-1 and 1073 cm^-1 attributed to the B-N stretching vibration of sp2-bonded BN and the transverse optical phonon of cBN, respectively, increased up to 195% and 175% of the original peak area after film delamination induced compressive stress relaxation. The increase of IR absorption of sp2-bonded BN is found to be non-linear and hysteretic to film delamination, which suggests that the relaxation of the turbostratic BN (tBN) layer from the compressed condition is also hysteretic to film delamination. Moreover, cross-sectional transmission electron microscopic observations revealed that cBN film delamination is possible from near the aBN(amorphous BN)/tBN interface at least for films prepared by plasma-enhanced chemical vapour deposition.  相似文献   

11.
Tetrahedral amorphous carbon films (ta-C) and nitrogen-containing ta-C films have been prepared using a magnetic-filtered plasma-deposition method in pure Ar, and Ar with N2 ambient, respectively. The structural and optical properties of these films have been studied using UV-visible optical absorption spectroscopy, Raman spectroscopy, and measurements of electrical conductivity in the temperature range from 300 to 500 K. The value of the optical band gap for the ta-C films deposited at suitable conditions were found to be larger than 3 eV. For nitrogen-containing ta-C films deposited at low partial pressure of nitrogen, the incorporation of a small amount of nitrogen will result in a slight drop in activation energy of conductivity and a decrease in band gap, which indicates that there are evidently both doping effect of nitrogen and graphitization of bonding. The study of surface morphology has been performed using atomic force microscopy (AFM), and results show that the surface roughness increases with the amount of nitrogen incorporated in ta-C films. The correlation between surface roughness and configuration of N atoms in ta-C network is also discussed.  相似文献   

12.
采用离子注入与反应磁控溅射相结合的方法在钛合金及硅片基体表面上制备了纳米TiC类金刚石(DLC)复合膜.通过纳米压痕技术检测了薄膜的纳米硬度,显微划痕试验评估了薄膜的结合力.通过X射线光电子能谱及X射线衍射表征了薄膜的化学结构.结果表明,通过改变C2H2气体流量,可以达到控制薄膜中钛原子含量的目的,合适的C2H2气体流量可以在DLC膜中形成较多的纳米TiC晶粒,形成DLC包覆TiC晶粒的复合结构,使DLC膜力学性能得到明显提高.另外,划痕试验表明掺钛、先注入后沉积工艺都使薄膜的结合力得到了较大提高. 关键词: 纳米TiC类金刚石复合膜 类金刚石膜 力学性能  相似文献   

13.
 用射频等离子体方法在玻璃基底上制备的类金刚石(DLC)薄膜,采用离子注入法掺氮,并对掺氮DLC薄膜紫外(UV)辐照前后的性能变化进行了研究。研究结果表明:随氮离子注入剂量及UV辐照时间的增加,位于2 930cm-1附近的SP 3C-H吸收峰明显变小,而位于1 580cm-1附近的SP2C-H吸收峰则明显增强,薄膜的电阻率明显呈下降趋势;随UV辐照时间的增加,位于1 078cm-1附近的Si-O-Si键数量及位于786cm-1附近的Si-C键数量明显增加。即氮离子注入和UV辐照明显改变了DLC薄膜的结构与特性。  相似文献   

14.
为了研究氢化非晶硅薄膜的稳定性,我们设计了一个在原子氢气氛中热退火的同时进行光诱导退火的实验(TLAH)。实验装置是由传统的微波电子回旋共振化学气相沉积系统改造而成为热丝辅助微波电子回旋共振化学气相沉积系统。为了对这一退火方法进行比较,对样品还进行了热退火、热退火同时进行光诱导退火。同时,为了定量地分析光电导衰退,我们假设光电导衰退遵循扩展指数规律:1/σph=1/σs-(1/σs-1/σ0)exp[-(t/τ)β],这里扩展指数参数β 和时间常数 τ 可从与 lnt 的线性关系中截距和斜率得到, 式中光电导饱和值σs可以通过在对数坐标系中表示的光电导和光照时间关系进行高斯拟合得到。实验结果显示:TLAH 方法可以提高氢化非晶硅薄膜的稳定性、改善其微结构和光电特性,同时还发现,光学带隙明显减小、荧光光谱显著地朝着低能方向移动。  相似文献   

15.
This study examined the friction and wear behavior of diamond-like carbon (DLC) films deposited from a radio frequency glow discharge using a hydrogen diluted benzene gas mixture. The DLC films were deposited on Si (1 0 0) and polished stainless steel substrates by radio frequency plasma-assisted chemical vapor deposition (r.f.-PACVD) at hydrogen to benzene ratios, or the hydrogen dilution ratio, ranging from 0 to 2.0. The wear test was carried out in both ambient and aqueous environments using a homemade ball-on-disk type wear rig. The stability of the DLC coating in an aqueous environment was improved by diluting the benzene precursor gas with hydrogen, suggesting that hydrogen dilution during the deposition of DLC films suppressed the initiation of defects in the film and improved the adhesion of the coating to the interface.  相似文献   

16.
UBMS技术制备DLC薄膜的光学常数椭偏分析   总被引:2,自引:0,他引:2  
李倩  杭凌侠  徐均琪 《应用光学》2009,30(1):105-109
采用宽光谱变角度椭圆偏振仪对非平衡磁控溅射(UBMS)技术沉积的类金刚石(DLC)薄膜的光学常数进行了测量与分析。在建立模型时,根据DLC薄膜成膜特性,分析和调整了模型结构;综合考虑了表面粗糙度、薄膜与基底表面及界面因素对测试结果的影响,将表面层和界面层分离出来,并采用有效介质方法对它们的影响作了近似处理。结果表明:硅基底上采用UBMS技术制备DLC薄膜的椭偏数据,经该模型拟合后均方误差(MSE值)从37.39下降到4.061,提高了测量精度。  相似文献   

17.
Amorphous diamond like carbon (DLC) and titanium incorporated diamond like carbon (Ti-DLC) thin films were deposited by using reactive-biased target ion beam deposition method. The effects of Ti incorporation and target bias voltage on the microstructure and mechanical properties of the as-deposited films were investigated by means of X-ray photoelectron spectroscopy, Raman spectroscopy, transmission electron microscopy and nano-indentation. It was found that the Ti content in Ti-DLC films gets increased with increasing target bias voltage. At about 4.2 at.% of Ti, uniform sized well dispersed nanocrystals were seen in the DLC matrix. Using FFT analysis, a facility available in the TEM, it was found that the nanocrystals are in cubic TiC phase. Though at the core, the incorporated Ti atoms react with carbon to form cubic TiC; most of the surface exposed Ti atoms were found to react with the atmospheric oxygen to form weakly bonded Ti-O. The presence of TiC nanocrystals greatly modified the sp3/sp2 hybridized bonding ratio and is reflected in mechanical hardness of Ti-DLC films. These films were then tested for their biocompatibility by an invitro cell culturing test. Morphological observation and the cell proliferation test have demonstrated that the human osteoblast cells well attach and proliferate on the surface of Ti incorporated DLC films, suggesting possible applications in bone related implant coatings.  相似文献   

18.
Using diborane as doping gas, p-doped μc-Si:H layers are deposited by using the plasma enhanced chemical vapour deposition (PECVD) technology. The effects of deposition pressure and plasma power on the growth and the properties of μc-Si:H layers are investigated. The results show that the deposition rate, the electrical and the structural properties are all strongly dependent on deposition pressure and plasma power. Boron-doped μc-Si:H films with a dark conductivity as high as 1.42 Ω^-1·cm^-1 and a crystallinity of above 50% are obtained. With this p-layer, μc-Si:H solar cells are fabricated. In addition, the mechanism for the effects of deposition pressure and plasma power on the growth and the properties of boron-doped μc-Si:H layers is discussed.  相似文献   

19.
The tribological behaviors of diamond and diamond-like carbon (DLC) films play a major role on their machining and mechanical applications. In this study, diamond and diamond-like carbon (DLC) films are deposited on the cobalt cemented tungsten carbide (WC-Co) substrate respectively adopting the hot filament chemical vapor deposition (HFCVD) technique and the vacuum arc discharge with a graphite cathode, and their friction properties are evaluated on a reciprocating ball-on-plate tribometer with counterfaces of silicon nitride (Si3N4) ceramic, cemented tungsten carbide (WC) and ball-bearing steel materials, under the ambient air without lubricating condition. Moreover, to evaluate their cutting performance, comparative turning tests are conducted using the uncoated WC-Co and as-fabricated CVD diamond and DLC coated inserts, with glass fiber reinforced plastics (GFRP) composite materials as the workpiece. The as-deposited HFCVD diamond and DLC films are characterized with energy-dispersive X-ray spectroscopy (EDX), scanning electron microscope (SEM), X-ray diffraction spectroscopy (XRD), Raman spectroscopy and 3D surface topography based on white-light interferometry. Furthermore, Rocwell C indentation tests are conducted to evaluate the adhesion of HFCVD diamond and DLC films grown onto WC-Co substrates. SEM and 3D surface topography based on white-light interferometry are also used to investigate the worn region on the surfaces of diamond and DLC films. The friction tests suggest that the obtained friction coefficient curves that of various contacts exhibit similar evolution tendency. For a given counterface, DLC films present lower stable friction coefficients than HFCVD diamond films under the same sliding conditions. The cutting tests results indicate that flank wear of the HFCVD diamond coated insert is lower than that of DLC coated insert before diamond films peeling off.  相似文献   

20.
Diamond-like carbon(DLC) thin film is one of the most widely used optical thin films.The fraction of chemical bondings has a great influence on the properties of the DLC film.In this work,DLC thin films are prepared by ion-beam sputtering deposition in Ar and CH4 mixtures with graphite as the target.The influences of the ion-beam voltage on the surface morphology,chemical structure,mechanical and infrared optical properties of the DLC films are investigated by atomic force microscopy(AFM),Raman spectroscopy,nanoindentation,and Fourier transform infrared(FTIR) spectroscopy,respectively.The results show that the surface of the film is uniform and smooth.The film contains sp2 and sp3hybridized carbon bondings.The film prepared by lower ion beam voltage has a higher sp3 bonding content.It is found that the hardness of DLC films increases with reducing ion-beam voltage,which can be attributed to an increase in the fraction of sp3 carbon bondings in the DLC film.The optical constants can be obtained by the whole infrared optical spectrum fitting with the transmittance spectrum.The refractive index increases with the decrease of the ion-beam voltage,while the extinction coefficient decreases.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号