首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 406 毫秒
1.
Etching and chemical mechanical polishing (CMP) experiments of the MgO single crystal substrate with an artificial scratch on its surface are respectively performed with the developed polishing slurry mainly containing 2 vol.% phosphoric acid (H3PO4) and 10-20 nm colloidal silica particles, through observing the variations of the scratch topography on the substrate surface in experiments process, the mechanism and effect of removing scratch during etching and polishing are studied, some evaluating indexes for effect of removing scratch are presented. Finally, chemical mechanical polishing experiments of the MgO substrates after lapped are conducted by using different kinds of polishing pads, and influences of the polishing pad hardness on removal of the scratches on the MgO substrate surface are discussed.  相似文献   

2.
In this paper, the technique of ultrasonic flexural vibration assisted chemical mechanical polishing (UFV-CMP) was used for sapphire substrate CMP. The functions of the polishing pad, the silica abrasive particles, and the chemical additives of the slurry such as pH value regulator and dispersant during the sapphire's UFV-CMP were investigated. The results showed that the actions of the ultrasonic and silica abrasive particles were the main factors in the sapphire material removal rate (MMR) and the chemical additives were helpful to decrease the roughness of sapphire. Then the effects of the flexural vibration on the interaction between the silica abrasive particles, pad and sapphire substrate from the kinematics and dynamics were investigated to explain why the MRR of UFV-CMP was bigger than that of the traditional CMP. It indicated that such functions improved the sapphire's MRR: the increasing of the contact silica particles’ motion path lengths on the sapphire's surface, the enhancement of the contact force between the contact silica particles and the sapphire's surface, and the impaction of the suspending silica particles to the sapphire's surface.  相似文献   

3.
The sapphire substrates are polished by traditional chemical mechanical polishing (CMP) and ultrasonic flexural vibration (UFV) assisted CMP (UFV-CMP) respectively with different pressures. UFV-CMP combines the functions of traditional CMP and ultrasonic machining (USM) and has special characteristics, which is that ultrasonic vibrations of the rotating polishing head are in both horizontal and vertical directions. The material removal rates (MRRs) and the polished surface morphology of CMP and UFV-CMP are compared. The MRR of UFV-CMP is two times larger than that of traditional CMP. The surface roughness (root mean square, RMS) of the polished sapphire substrate of UFV-CMP is 0.83 Å measured by the atomic force microscopy (AFM), which is much better than 2.12 Å obtained using the traditional CMP. And the surface flatness of UFV-CMP is 0.12 μm, which is also better than 0.23 μm of the traditional CMP. The results show that UFV-CMP is able to improve the MRR and finished surface quality of the sapphire substrates greatly. The material removal and surface polishing mechanisms of sapphire in UFV-CMP are discussed too.  相似文献   

4.
High roughness and a greater number of defects were created by lithium niobate (LN; LiNbO3) processes such as traditional grinding and mechanical polishing (MP), should be decreased for manufacturing LN device. Therefore, an alternative process for gaining defect-free and smooth surface is needed. Chemical mechanical planarization (CMP) is suitable method in the LN process because it uses a combination approach consisting of chemical and mechanical effects. First of all, we investigated the LN CMP process using commercial slurry by changing various process conditions such as down pressure and relative velocity. However, the LN CMP process time using commercial slurry was long to gain a smooth surface because of lower material removal rate (MRR). So, to improve the material removal rate (MRR), the effects of additives such as oxidizer (hydrogen peroxide; H2O2) and complexing agent (citric acid; C6H8O7) in a potassium hydroxide (KOH) based slurry, were investigated. The manufactured slurry consisting of H2O2-citric acid in the KOH based slurry shows that the MRR of the H2O2 at 2 wt% and the citric acid at 0.06 M was higher than the MRR for other conditions.  相似文献   

5.
Zinc oxide has become an important material for various applications. Commercially available zinc oxide single crystals and as-grown zinc oxide thin films have high surface roughness which has detrimental effects on the growth of subsequent layers and device performance. A chemical mechanical polishing (CMP) process was developed for the polishing of zinc oxide polycrystalline thin films. Highly smooth surfaces with RMS roughness <6 Å (as compared to the initial roughness of 26 ± 6 Å) were obtained under optimized conditions with removal rates as high as 670 Å/min. Effects of various CMP parameters on removal rate and surface roughness were evaluated. The role of pH on the polishing characteristics was investigated in detail.  相似文献   

6.
It was found material removal rate (MRR) sharply increased from 250 to 675 nm/min as the concentration decreased from 1 to 0.25 wt% in optical glass chemical mechanical polishing (CMP) using ceria slurries. Scanning electron microscopy was employed to characterize the ceria abrasive used in the slurry. Atomic force microscopy results showed good surface had been got after CMP. Schematic diagrams of the CMP process were shown. Furthermore, the absorption spectra indicated a sudden change from Ce4+ to Ce3+ of the ceria surface when the concentration decreased, which revealed a quantum origin of the phenomenon.  相似文献   

7.
Tungsten is widely used as deposited layer for the multi-level interconnection structures of wafers. The chemical composition of abrasive slurry plays an important role in chemical mechanical polishing (CMP) process. Removal of tungsten is driven by complex oxidation mechanisms between slurry components. The slurry for tungsten CMP generally contains oxidizer, iron catalyst, complexing agents and stabilizers in a pH adjusted solution of abrasive particles. Interaction between iron complex and H2O2 in the slurry is the main factor governing the chemical mode of material removal, oxidation potencies and kinetics.In this study, we investigate the effects of chemical additives in silica (SiO2)-based slurry on the removal rate of the tungsten film. Experiments were carried out in static batch as a preliminary study to understand and optimize chemical mechanisms in CMP-Tungsten process. Experiment designs were conducted to understand the influence of the chemical additives on the main performances of W-CMP. Used slurry, concentrated and retreated with chemical adjustments, is compared to the original slurry as a reference.  相似文献   

8.
Cleaved NiO(1 0 0) surfaces were imaged with atomic force microscopy (AFM) to determine defect concentrations and morphology. Random 〈0 1 0〉 and 〈0 0 1〉 oriented steps, which have been previously characterized, were the most common defect observed on the cleaved surface and formed with step heights in multiples of 2.1 Å, the Ni-O nearest-neighbor distance, and terrace widths in the range of 25-100 nm. In addition, the surface showed novel mesoscale (∼0.5-2 μm) square pyramidal defects with the pyramid base oriented along 〈1 0 0〉 symmetry related directions. Upon etching, the pyramidal defects converted to more stable cubic pits, consistent with (1 0 0) symmetry related walls. The square pyramidal pits tended to cluster or to form along step edges, where the weakened structure is more susceptible to surface deformations. Also, a small concentration of square pyramidal pits, oriented with the base of the pyramid along 〈0 1 1〉, was observed on the cleaved NiO surfaces. For comparison purposes, chemical mechanical polished (CMP) NiO(1 0 0) substrates were imaged with AFM. Defect concentrations were of comparable levels to the cleaved surface, but showed a different distribution of defect types. Long-ranged stepped defects were much less common on CMP substrates, and the predominant defects observed were cubic pits with sidewalls steeper than could be accurately measured by the AFM tip. These defects were similar in size and structure to those observed on cleaved NiO(1 0 0) surfaces that had been acid etched, although pit clustering was more pronounced for the CMP surfaces.  相似文献   

9.
To improve their chemical mechanical polishing (CMP) performance, ceria nanoparticles were surface modified with γ-aminopropyltriethoxysilane (APS) through silanization reaction with their surface hydroxyl group. The compositions, structures and dispersibility of the modified ceria particles were characterized by Fourier transform infrared spectroscopy (FTIR), X-ray photoelectron spectroscopy (XPS), field-emission scanning electron microscopy (FE-SEM), energy dispersive spectroscopy (EDS), laser particle size analyzer, zeta potential measurement and stability test, respectively. The results indicated that APS had been successfully grafted onto the surface of ceria nanoparticles, which led to the modified ceria nanoparticles with better dispersibility and stability than unmodified ceria particles in aqueous fluids. Then, CMP performance of the modified ceria nanoparticles on glass substrate was investigated. Experimental results showed that the modified ceria particles exhibited lower material removal rate (MRR) but much better surface quality than unmodified ceria particles, which may be explained by the hardness reduction of ceria particles, the enhancement of lubrication of the particles and substrate surfaces, and the elimination of the agglomeration among the ceria particles.  相似文献   

10.
Well-crystallized MgO nanosheets have been prepared with MgB2 as a precursor without any catalyst via a simple chemical vapor deposition (CVD) method. The nanosheets are grown parallel to (2 0 0) plane according to the high-resolution transmission electron microscopy profiles. At the same time, MgO nanowires are formed in the different area of substrate, which is the result of the difference in local super-saturation. Consequently, we propose that the growth mechanism depends on the surface energy and the local super-saturation in the system.  相似文献   

11.
To understand mechanisms of chemical mechanical planarization (CMP), an atomic force microscope (AFM) was used to characterize polished layer surfaces formed by selective transfer after a set of polishing experiments. It is know that in the process of friction of two materials and in the presence of own lubricants, wear phenomenon itself manifests as a transfer of material from an element of a friction couple on the other, this phenomenon being characteristic to the selective transfer process. A selective transfer can be safely achieved in a friction couple, if there is a favorable energy, and in the presence of relative movement, if in the friction area is a material made by copper and the lubricant is adequate (glycerin or special lubricant). The forming selective layer on the contact surfaces makes that the friction force to be very low because of the structure formed by selective transfer. To optimize the CMP process, one needs to obtain information on the interaction between the slurry abrasive particles (with the size range of about 30–70 nm) and the polished surface. To study such interactions, we used AFM. Surface analysis of selective layer using the AFM revealed detailed surface characteristics obtained by CMP. Studying the selective layer CMP, of which the predominated one is copper (in proportion of over 85%), we found that the AFM scanning removes the surface oxide layer in different rates depending on the depth of removal and the pH of the solution. Oxide removal happens considerably faster than the copper CMP removal from the selective layer. This is in agreement with generally accepted models of copper CMP. It was found that removal mechanisms depend on the slurry chemistry, potential per cent of oxidizer, and the applied load. This presentation discusses these findings. Both load force and the friction forces acting between the AFM tip and surface during the polishing process were measured. One big advantage of using the AFM tip (of radius about 50 nm) as abrasive silica particle is that we can measure forces acting between the particle-tip and the surface being polished. Here, we report measurement of the friction force while scratching and polishing. The correlation between those forces and removal rate is discussed.  相似文献   

12.
A novel material removal model as a function of abrasive particle size and concentration was established in chemical mechanical polishing (CMP) based on molecular scale mechanism, micro-contact mechanics and probability statistics. A close-form equation was firstly developed to calculate the number of effective particles. It found nonlinear dependences of removal rate on the particle size and concentration, being qualitatively agreement with the published experimental data. The nonlinear relation results from the couple relationship among abrasive number, slurry concentration and surface atoms’ binding energy with the particle size. Finally, the system parameters such as the operational conditions and materials properties were incorporated into the model as well.  相似文献   

13.
This paper proposes a novel mathematical model for chemical mechanical polishing (CMP) based on interface solid physical and chemical theory in addition to energy equilibrium knowledge. And the effects of oxidation concentration and particle size on the material removal in CMP are investigated. It is shown that the mechanical energy and removal cohesive energy couple with the particle size, and being a cause of the non-linear size-removal rate relation. Furthermore, it also shows a nonlinear dependence of removal rate on removal cohesive energy. The model predictions are in good qualitative agreement with the published experimental data. The current study provides an important starting point for delineating the micro-removal mechanism in the CMP process at atomic scale.  相似文献   

14.
The paper presents a novel mathematical model that systematically describes the role of oxidizer, complexing agent and inhibitor on the material removal in chemical mechanical polishing (CMP) of copper. The physical basis of the model is the steady-state oxidation reaction and etched removal in additional to mechanical removal. It is shown that the complexing agent concentration-removal relation follows a trend similar to that observed from the effects of oxidizer on Cu removal in CMP. In addition, the removal rate and the coupled effects of the chemical additives are determined from a close-form equation, making use of the concepts of chemical-mechanical equilibrium and chemical kinetics. The model prediction trends show qualitatively good agreement with the published experimental data. The governing equation of copper removal reveals some insights into the polishing process in addition to its underlying theoretical foundation.  相似文献   

15.
Nano-sized ceria particles were coated on the silica surface by the precipitation method using ammonium cerium nitrate and urea as precipitant with poly(vinylpyrrolidone) (PVP) as assistant. The structures and compositions of ceria-coated silica particles were characterized using X-ray diffraction (XRD), field-emission scanning microscopy (FE-SEM), energy dispersive spectroscopy (EDS), transmission electron microscopy (TEM) and dynamic light scattering (DLS) measurements. The results show that nano-size ceria particles were coated uniformly around the surface of silica particles when PVP was used as assistant during coating process, while without PVP, the ceria particles were grown sparsely on the silica particle surface and many ceria particles grow up through independent nucleation in the solution. Then, the chemical mechanical polishing (CMP) behaviors of the as-prepared ceria-coated silica particles on glass substrate were investigated. The CMP test results suggest that the as-prepared ceria-coated silica particles exhibit higher removal rate than pure silica particles without deteriorating the surface quality. In addition, online coefficient of friction (COF) was conducted during the polishing process. The COF data indicate that the COF values of ceria-coated silica particles are larger than those of pure silica particles due to their surface properties.  相似文献   

16.
We investigate the effect of chemicals on chemical mechanical polishing (CMP) of glass substrates. Ceria slurry in an ultra-low concentration of 0.25 wt. % is used and characterized by scanning electron microscopy. Three typical molecules, i.e. acetic acid, citric acid and sodium acrylic polymer, are adopted to investigate the effect on CMP performance in terms of material removal rate (MRR) and surface quality. The addition of sodium acrylic polymer shows the highest MRR as well as the best surface by atomic force microscopy after CMP, while the addition of citric acid shows the worst performance. These results reveal a mechanism that a long-chain molecule without any branches rather than small molecules and common molecules with ramose abundant-electron groups is better for the dispersion of the slurry and thus better for the CMP process.  相似文献   

17.
18.
Inhibitors for organic phosphonic acid system abrasive free polishing of Cu   总被引:2,自引:0,他引:2  
Organic phosphonic acid system abrasive free slurry for copper polishing is developed in our earlier work. Since material removal rate is too high to be applied as precision polishing slurry for copper, inhibitors are needed. Experiment results also show us that the most commonly used inhibitor benzotriazole is unsuitable for this abrasive free slurry, and then another kind of compound inhibitors for this organic phosphonic acid system abrasive free slurry are developed. The compound inhibitors, consisting of ascorbic acid and ethylene thiourea, can control the material removal rate and also reduce surface roughness. XPS results show that, in the compound inhibitors, ascorbic acid participates in the surface chemical reaction, forms passivating layer on copper surface and helps to control the material removal rate. Corrosion current calculated from polarization curve is consistent with material removal rate. Ethylene thiourea contributes to the reduction of surface roughness, which can be indicated by the peak shape change of S2p in XPS results.  相似文献   

19.
Continuous advancements in chemical mechanical planarization (CMP) process, such as new polishing pads, slurry materials, and abrasive particles necessitate optimization of the key process input parameters for maximum material removal rate (MRR) and/or minimum within wafer non-uniformity (WIWNU) using sparse experimental results. In this investigation a methodology is proposed for developing process models and optimization of input parameters (both main and interaction parameters) for maximum MRR and minimum WIWNU. This approach will be equally applicable for polishing other materials, such as copper, dielectrics and low-k materials. Complex relationships exist between several machine-specific and material-specific input parameters and the output performance variables, chiefly MRR and WIWNU. However, only a few of the input parameters are changed on a regular basis. Hence, only those subsets of relationships need to be considered for optimizing the CMP process. In this investigation, CMP process was characterized for polishing a thin layer of silicon dioxide on top of a silicon wafer. Statistical analysis of the experimental data was performed to obtain the order of significance of the input variables (machine and material parameters and their interactions). Both linear and logarithmic regression models were developed and used to determine optimum process conditions for maximizing MRR and minimizing WIWNU. While the main input parameters were responsible for maximum MRR, interaction parameters were found to be responsible for minimizing WIWNU. This may vary for different materials and polishing environments. PACS 81.00.00; 81.05.Gc; 81.65.Ps  相似文献   

20.
After chemical mechanical planarization (CMP), the reason which caused the formation of Cu-oxide defects at the interface between Cu deposit and TaN barrier layer has been studied. The experimental results of atomic force microscopy, secondary ion mass spectroscopy, X-ray diffraction demonstrated that the agglomeration phenomenon was found on Cu seed in the thickness of only 10 nm, thus resulting in the electrodeposited Cu film with more abundant C impurities at Cu/TaN interface and lower (1 1 1)/(2 0 0) ratio compared to the thick one (30 nm). Therefore it caused the Cu deposit with poor corrosion resistance and then the Cu-oxide defects were easily formed after CMP. As a result, the correlation between Cu-oxide defects at the Cu/TaN interface and the agglomeration on Cu seed layer was proposed herein.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号