首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 421 毫秒
1.
The sapphire substrates are polished by traditional chemical mechanical polishing (CMP) and ultrasonic flexural vibration (UFV) assisted CMP (UFV-CMP) respectively with different pressures. UFV-CMP combines the functions of traditional CMP and ultrasonic machining (USM) and has special characteristics, which is that ultrasonic vibrations of the rotating polishing head are in both horizontal and vertical directions. The material removal rates (MRRs) and the polished surface morphology of CMP and UFV-CMP are compared. The MRR of UFV-CMP is two times larger than that of traditional CMP. The surface roughness (root mean square, RMS) of the polished sapphire substrate of UFV-CMP is 0.83 Å measured by the atomic force microscopy (AFM), which is much better than 2.12 Å obtained using the traditional CMP. And the surface flatness of UFV-CMP is 0.12 μm, which is also better than 0.23 μm of the traditional CMP. The results show that UFV-CMP is able to improve the MRR and finished surface quality of the sapphire substrates greatly. The material removal and surface polishing mechanisms of sapphire in UFV-CMP are discussed too.  相似文献   

2.
孔慧  霍军朝  梁晨亮  李沙沙  刘卫丽  宋志棠 《中国物理 B》2016,25(11):118202-118202
A new industrial method has been developed to produce polydisperse spherical colloidal silica particles with a very broad particle size,ranging from 20-95 nm.The process uses a reactor in which the original seed solution is heated to 100 ℃,and then active silicic acid and the seed solution are titrated to the reactor continuously with a constant rate.The original seeds and the titrated seeds in the reactor will go through different particle growth cycles to form different particle sizes.Both the particles' size distribution and morphology have been characterized by dynamic light scattering(DLS)and the focus ion beam(FIB) system.In addition,the as-prepared polydisperse colloidal silica particle in the application of sapphire wafer's chemical mechanical polishing(CMP) process has been tested.The material removal rate(MRR) of this kind of abrasive has been tested and verified to be much faster than traditional monodisperse silica particles.Finally,the mechanism of sapphire CMP process by this kind of polydisperse silica particles has been investigated to explore the reasons for the high polishing rate.  相似文献   

3.
Abrasive is one of key influencing factors on the surface quality during the chemical mechanic polishing (CMP). α-Alumina particles, as a kind of widely used abrasive in CMP slurries, often cause to surface defects because of its high hardness. In the present paper, a series of novel alumina/silica core-shell abrasives in slurries were described. The CMP performances of the alumina/silica core-shell abrasives on hard disk substrate were investigated by using a SPEEDFAM-16B-4M CMP equipment. Experimental results indicate that the CMP performances are strong dependent on the coated SiO2 content of the alumina/silica composite abrasives. Slurries containing the alumina/silica composite abrasives exhibited lower surface roughness and waviness as well as lower topographical variations and less scratch than that containing pure alumina abrasive under the same testing conditions.  相似文献   

4.
Etching and chemical mechanical polishing (CMP) experiments of the MgO single crystal substrate with an artificial scratch on its surface are respectively performed with the developed polishing slurry mainly containing 2 vol.% phosphoric acid (H3PO4) and 10-20 nm colloidal silica particles, through observing the variations of the scratch topography on the substrate surface in experiments process, the mechanism and effect of removing scratch during etching and polishing are studied, some evaluating indexes for effect of removing scratch are presented. Finally, chemical mechanical polishing experiments of the MgO substrates after lapped are conducted by using different kinds of polishing pads, and influences of the polishing pad hardness on removal of the scratches on the MgO substrate surface are discussed.  相似文献   

5.
Continuous advancements in chemical mechanical planarization (CMP) process, such as new polishing pads, slurry materials, and abrasive particles necessitate optimization of the key process input parameters for maximum material removal rate (MRR) and/or minimum within wafer non-uniformity (WIWNU) using sparse experimental results. In this investigation a methodology is proposed for developing process models and optimization of input parameters (both main and interaction parameters) for maximum MRR and minimum WIWNU. This approach will be equally applicable for polishing other materials, such as copper, dielectrics and low-k materials. Complex relationships exist between several machine-specific and material-specific input parameters and the output performance variables, chiefly MRR and WIWNU. However, only a few of the input parameters are changed on a regular basis. Hence, only those subsets of relationships need to be considered for optimizing the CMP process. In this investigation, CMP process was characterized for polishing a thin layer of silicon dioxide on top of a silicon wafer. Statistical analysis of the experimental data was performed to obtain the order of significance of the input variables (machine and material parameters and their interactions). Both linear and logarithmic regression models were developed and used to determine optimum process conditions for maximizing MRR and minimizing WIWNU. While the main input parameters were responsible for maximum MRR, interaction parameters were found to be responsible for minimizing WIWNU. This may vary for different materials and polishing environments. PACS 81.00.00; 81.05.Gc; 81.65.Ps  相似文献   

6.
The as-cutted sapphire wafers are planarized by the grinding and polishing two-step machining processes with micrometer B4C and nanometer silica as abrasives, respectively. The material removal rates (MRRs) of two processes are measured. During the polishing process, the MRR increases with the down-pressure increased, whereas the rotational speeds have less effect on the MRR. The alkaline colloidal silica is more favorable than the acidic to polish sapphire wafer. The ground and polished surfaces of the substrate are compared by scanning electron microscopy, atomic force microscopy, and X-ray rocking curves. Our results show that B4C abrasives are effective in elimination of the ununiformity in thickness within a wafer. The colloidal silica can achieve a nanoscale flatness of wafer, but the lasting polishing time seems unfavorable. The polishing process is also analyzed in terms of chemical mechanical polishing mechanism.  相似文献   

7.
Tungsten is widely used as deposited layer for the multi-level interconnection structures of wafers. The chemical composition of abrasive slurry plays an important role in chemical mechanical polishing (CMP) process. Removal of tungsten is driven by complex oxidation mechanisms between slurry components. The slurry for tungsten CMP generally contains oxidizer, iron catalyst, complexing agents and stabilizers in a pH adjusted solution of abrasive particles. Interaction between iron complex and H2O2 in the slurry is the main factor governing the chemical mode of material removal, oxidation potencies and kinetics.In this study, we investigate the effects of chemical additives in silica (SiO2)-based slurry on the removal rate of the tungsten film. Experiments were carried out in static batch as a preliminary study to understand and optimize chemical mechanisms in CMP-Tungsten process. Experiment designs were conducted to understand the influence of the chemical additives on the main performances of W-CMP. Used slurry, concentrated and retreated with chemical adjustments, is compared to the original slurry as a reference.  相似文献   

8.
Nano-sized ceria particles were coated on the silica surface by the precipitation method using ammonium cerium nitrate and urea as precipitant with poly(vinylpyrrolidone) (PVP) as assistant. The structures and compositions of ceria-coated silica particles were characterized using X-ray diffraction (XRD), field-emission scanning microscopy (FE-SEM), energy dispersive spectroscopy (EDS), transmission electron microscopy (TEM) and dynamic light scattering (DLS) measurements. The results show that nano-size ceria particles were coated uniformly around the surface of silica particles when PVP was used as assistant during coating process, while without PVP, the ceria particles were grown sparsely on the silica particle surface and many ceria particles grow up through independent nucleation in the solution. Then, the chemical mechanical polishing (CMP) behaviors of the as-prepared ceria-coated silica particles on glass substrate were investigated. The CMP test results suggest that the as-prepared ceria-coated silica particles exhibit higher removal rate than pure silica particles without deteriorating the surface quality. In addition, online coefficient of friction (COF) was conducted during the polishing process. The COF data indicate that the COF values of ceria-coated silica particles are larger than those of pure silica particles due to their surface properties.  相似文献   

9.
Magnesium oxide (MgO) single crystal is an important substrate for high temperature superconductor, ferroelectric and photoelectric applications. The function and reliability of these devices are directly affected by the quality of polished MgO surface because any defect on the substrate, such as pit or scratch, may be propagated onto device level. In this paper, chemical mechanical polishing (CMP) experiments were conducted on MgO (1 0 0) substrate using slurry mainly comprised of 1-hydroxy ethylidene-11-diphosphonic acid (HEDP) and silica or ceria particles. Through monitoring the variations of the pits topography on substrate surface, generation and removal mechanism of the pits were investigated. The experimental results indicate that the pits were first generated by an indentation or scratch caused by particles in the slurry. If the rate of chemical etching in the defect area is higher than the material removal rate, the pits will grow. If chemical reaction in the defect area is slower than the material removal rate, the pits will become smaller and eventually disappear. Consequently, these findings may provide insight into strategies for minimizing pits during CMP process.  相似文献   

10.
Nanoparticles have been widely used in polishing slurry such as chemical mechanical polishing (CMP) process. The movement of nanoparticles in polishing slurry and the interaction between nanoparticles and solid surface are very important to obtain an atomic smooth surface in CMP process. Polishing slurry contains abrasive nanoparticles (with the size range of about 10–100 nm) and chemical reagents. Abrasive nanoparticles and hydrodynamic pressure are considered to cause the polishing effect. Nanoparticles behavior in the slurry with power-law viscosity shows great effect on the wafer surface in polishing process. CMP is now a standard process of integrated circuit manufacturing at nanoscale. Various models can dynamically predict the evolution of surface topography for any time point during CMP. To research, using a combination of individual nanoscale friction measurements for CMP of SiO2, in an analytical model, to sum these effects, and the results scale CMP experiments, can guide the research and validate the model. CMP endpoint measurements, such as those from motor current traces, enable verification of model predictions, relating to friction and wear in CMP and surface topography evolution for different types of CMP processes and patterned chips. In this article, we explore models of the microscopic frictional force based on the surface topography and present both experimental and theoretical studies on the movement of nanoparticles in polishing slurry and collision between nanoparticles, as well as between the particles and solid surfaces in time of process CMP. Experimental results have proved that the nanoparticle size and slurry properties have great effects on the polishing results. The effects of the nanoparticle size and the slurry film thickness are also discussed.  相似文献   

11.
Mechanical grinding, chemical mechanical polishing (CMP) and dry etching process are integrated to remove sapphire substrate for fabricating thin-film light-emitting diodes. The thinning of sapphire substrate is done by fast mechanical grinding followed by CMP. The CMP can remove or reduce most of the scratches produced by mechanical grinding, recovering both the mechanical strength and wafer warpage to their original status and resulting in a smoother surface. The surface morphology and surface roughness on grinded and polished sapphire substrate are measured by using atomic force microscopy (AFM). The etch rates of sapphire by BCl3-based dry etching are reported. Pattern transfer to the physical and chemical stability of sapphire is made possible by inductively coupled plasma (ICP) etch system that generates high density plasma. The patterning of several microns period in sapphire wafer by using a combination of BCl3/Ar plasma chemistry and SiO2 mask is presented. The anisotropic etch profile formed on sapphire wafer is obtained from scanning electron microscopy (SEM) images.  相似文献   

12.
It was found material removal rate (MRR) sharply increased from 250 to 675 nm/min as the concentration decreased from 1 to 0.25 wt% in optical glass chemical mechanical polishing (CMP) using ceria slurries. Scanning electron microscopy was employed to characterize the ceria abrasive used in the slurry. Atomic force microscopy results showed good surface had been got after CMP. Schematic diagrams of the CMP process were shown. Furthermore, the absorption spectra indicated a sudden change from Ce4+ to Ce3+ of the ceria surface when the concentration decreased, which revealed a quantum origin of the phenomenon.  相似文献   

13.
In the chemical mechanical polishing (CMP) process, the complex behaviors of abrasive particles play important roles in the planarization of wafer surface. Particles embedded in the pad remove materials by ploughing, while particles immersed in the slurry by rolling across the wafer surface. In this paper, processes of the particle rolling across a silicon surface with an asperity under various down forces and external driving forces were studied using molecular dynamics (MD) simulation method. The simulations clarified the asperity shape evolution during the rolling process and analyzed the energy changes of the simulation system and the interaction forces acted on the silica particle. It was shown that both the down force and the driving force had important influences on the amount of the material removed. With relatively small down forces and driving forces applied on the particle, the material removal occurred mainly in the front end of the asperity; when the down forces and driving forces were large enough, e.g., 100?nN, the material removal could take place at the whole top part of the asperity. The analysis of energy changes and interaction forces provided favorable explanations to the simulation results.  相似文献   

14.
To understand mechanisms of chemical mechanical planarization (CMP), an atomic force microscope (AFM) was used to characterize polished layer surfaces formed by selective transfer after a set of polishing experiments. It is know that in the process of friction of two materials and in the presence of own lubricants, wear phenomenon itself manifests as a transfer of material from an element of a friction couple on the other, this phenomenon being characteristic to the selective transfer process. A selective transfer can be safely achieved in a friction couple, if there is a favorable energy, and in the presence of relative movement, if in the friction area is a material made by copper and the lubricant is adequate (glycerin or special lubricant). The forming selective layer on the contact surfaces makes that the friction force to be very low because of the structure formed by selective transfer. To optimize the CMP process, one needs to obtain information on the interaction between the slurry abrasive particles (with the size range of about 30–70 nm) and the polished surface. To study such interactions, we used AFM. Surface analysis of selective layer using the AFM revealed detailed surface characteristics obtained by CMP. Studying the selective layer CMP, of which the predominated one is copper (in proportion of over 85%), we found that the AFM scanning removes the surface oxide layer in different rates depending on the depth of removal and the pH of the solution. Oxide removal happens considerably faster than the copper CMP removal from the selective layer. This is in agreement with generally accepted models of copper CMP. It was found that removal mechanisms depend on the slurry chemistry, potential per cent of oxidizer, and the applied load. This presentation discusses these findings. Both load force and the friction forces acting between the AFM tip and surface during the polishing process were measured. One big advantage of using the AFM tip (of radius about 50 nm) as abrasive silica particle is that we can measure forces acting between the particle-tip and the surface being polished. Here, we report measurement of the friction force while scratching and polishing. The correlation between those forces and removal rate is discussed.  相似文献   

15.
无损伤超光滑LBO晶体表面抛光方法研究   总被引:1,自引:0,他引:1  
李军  朱镛  陈创天 《光学技术》2006,32(6):838-841
传统的抛光LBO晶体的方法是选用金刚石抛光粉在沥青抛光盘上抛光。沥青盘易于变形不容易修整,金刚石粉特别硬容易损伤抛光晶体表面。抛光过程中,抛光盘和抛光粉的选择是非常重要的,直接影响到抛光效率和最终的表面质量。新的抛光LBO晶体的方法,其抛光过程是一个化学机械过程,抛光盘、抛光粉和抛光材料相互作用。选用两种抛光盘(培纶和聚氨酯盘),三种较软的抛光磨料(CeO2,Al2O3和SiO2胶体),并在LBO晶体的(001)面进行抛光实验。用原子力显微镜测量和分析了表面粗糙度。结果表明,使用聚氨酯盘和SiO2胶体能够获得无损伤超光滑的LBO晶体表面,其表面粗糙度的RMS为0.3nm。  相似文献   

16.
高准确度玻璃光学元件的CMP技术研究   总被引:1,自引:0,他引:1  
陈勇  李攀 《光子学报》2008,37(12):2499-2503
依据化学机械抛光(Chemical Mechanical Polishing,CMP)加工玻璃光学元件的原理,通过对抛光运动机理的理论分析,提出了抛光垫的磨削均匀性对光学元件面形的影响,并设计了新的工艺流程.通过工艺试验,完成了高准确度玻璃光学元件的CMP加工,获得了表面质量N<0.2,Rq<0.3 nm的玻璃光学元件.  相似文献   

17.
Scrub, ultrasonic and megasonic are widely used in industry as post-CMP (chemical mechanical polishing/planarization) cleaning procedure. In this paper experiments and results are described to analyze the particle contaminations of hard disk substrate after each process of post-CMP cleaning. A scatter spot method has been exploited to detect the location and characteristics of the particles. SEM with EDX is used to observe and analyze the particles’ shape and size as well as the elements. The results indicate that brush scrub process can remove 99% contaminations after CMP but not that efficient for submicron particle. Megasonic is a refined method for cleaning nano-particles. However, contaminations like metallic particles and bacteria from the equipment may cause pollution. The abrasive particles embedded in the plating pits cannot be removed by mechanical force. Pollution in the dryer is also discussed.  相似文献   

18.
抛光垫是化学机械抛光的重要组成部分,其磨损的非均匀性对被加工工件面型精度和抛光垫修整有重要影响。基于直线摆动式抛光方式,研究了抛光过程中抛光垫与工件的相对运动,建立了抛光垫磨损模型,分析了抛光工艺参数对抛光垫磨损及均匀性的影响。研究结果表明,工件与抛光垫的转速比为1.11,正弦偏心直线摆动形式,摆动幅度系数为2,摆动频率系数在0.1~0.2之间,抛光垫表面磨损更均匀,并根据抛光垫表面磨损特性优化了抛光垫形状。优化的抛光垫具有更好的面型保持性,延长了修整间隔,为抛光工艺设计提供理论指导。  相似文献   

19.
A novel material removal model as a function of abrasive particle size and concentration was established in chemical mechanical polishing (CMP) based on molecular scale mechanism, micro-contact mechanics and probability statistics. A close-form equation was firstly developed to calculate the number of effective particles. It found nonlinear dependences of removal rate on the particle size and concentration, being qualitatively agreement with the published experimental data. The nonlinear relation results from the couple relationship among abrasive number, slurry concentration and surface atoms’ binding energy with the particle size. Finally, the system parameters such as the operational conditions and materials properties were incorporated into the model as well.  相似文献   

20.
High roughness and a greater number of defects were created by lithium niobate (LN; LiNbO3) processes such as traditional grinding and mechanical polishing (MP), should be decreased for manufacturing LN device. Therefore, an alternative process for gaining defect-free and smooth surface is needed. Chemical mechanical planarization (CMP) is suitable method in the LN process because it uses a combination approach consisting of chemical and mechanical effects. First of all, we investigated the LN CMP process using commercial slurry by changing various process conditions such as down pressure and relative velocity. However, the LN CMP process time using commercial slurry was long to gain a smooth surface because of lower material removal rate (MRR). So, to improve the material removal rate (MRR), the effects of additives such as oxidizer (hydrogen peroxide; H2O2) and complexing agent (citric acid; C6H8O7) in a potassium hydroxide (KOH) based slurry, were investigated. The manufactured slurry consisting of H2O2-citric acid in the KOH based slurry shows that the MRR of the H2O2 at 2 wt% and the citric acid at 0.06 M was higher than the MRR for other conditions.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号