首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到19条相似文献,搜索用时 156 毫秒
1.
在氧化硅上生长纳米硅晶,保持氧化硅的直接带隙结构,降低其能带带隙,以用于发光和光伏。采用基于密度泛函理论的第一性原理研究了块体α-方石英、薄膜α-方石英、Si/SiO2界面的电子态结构和Si/SiO2界面的光学性质。结果显示,其均为直接带隙半导体,当薄膜α-方石英厚度和Si/SiO2界面氧化硅层厚度逐渐减小时,能带带隙均逐渐变大,表现出明显的量子限制效应。光学性质计算结果表明:Si/SiO2界面虚部介电峰和吸收峰的峰值随氧化硅层厚度降低而显著升高,且峰位向高能量方向蓝移。使用脉冲激光沉积制备了氧化硅上硅晶薄膜,测量了Si/SiO2界面样品的PL光谱,在670 nm处存在一个强的发光峰,在波长超过830 nm后,Si/SiO2界面样品的发光强度不断升高。因此,可以通过控制Si/SiO2界面氧化硅层厚度有效地调控Si/SiO2界面的电子态结构和光学性质,引进边缘电子态,调控其带隙进入1~2 eV区间,获取硅基发光材料...  相似文献   

2.
p型硅MOS结构Si/SiO2界面及其附近的深能级与界面态   总被引:1,自引:0,他引:1       下载免费PDF全文
陈开茅  武兰青  彭清智  刘鸿飞 《物理学报》1992,41(11):1870-1879
用深能级瞬态谱(DLTS)技术系统研究了Si/SiO2界面附近的深能级和界面态。结果表明,在热氧化形成的Si/SiO2界面及其附近经常存在一个浓度很高的深能级,它具有若干有趣的特殊性质,例如它的DLTS峰高度强烈地依赖于温度,以及当栅偏压使费密能级与界面处硅价带顶的距离明显小于深能级与价带顶的距离时,仍然可以观测到一个很强的DLTS峰。另外,用最新方法测量的Si/SiO2界面连续态的空穴俘获截面与温度有关,而与能量位置无明显关系,DLTS测 关键词:  相似文献   

3.
卢江  吴自勤 《物理学报》1989,38(6):981-986
本文用横截面电子显微镜法分析了Si-W/Si/SiO2/Si(100)在440—1000℃退火后的晶化过程,以及各个界面的变化情况.发现Si-W合金膜中,WSi2并未优先在表面、界面处形成晶核.当退火温度不高于700℃时,反应在合金膜内发生,表面、界面起伏和缓.退火温度高达800—1000℃时,界面、表面出现原子扩散,造成剧烈的界面起伏;表面则出现小的热沟槽,Si/SiO2界面也出现高分辨电子显微镜才能观察到的起伏.表面、界面的原子迁移的动力来源于晶界与表面、界面张力.由于SiO2中Si—O键很稳定,不易发生Si和O在界面处的互扩散,所以Si/SiO2界面起伏很小. 关键词:  相似文献   

4.
萨宁  康晋锋  杨红  刘晓彦  张兴  韩汝琦 《物理学报》2006,55(3):1419-1423
研究了HfN/HfO2高K栅结构p型金属-氧化物-半导体(MOS)晶体管(MOSFET)中,负 偏置-温度应力引起的阈值电压不稳定性(NBTI)特征.HfN/HfO2高K栅结构的等效 氧化层厚度(EOT)为1.3nm,内含原生缺陷密度较低.研究表明,由于所制备的HfN/HfO2 高K栅结构具有低的原生缺陷密度,因此在p-MOSFET器件中观察到的NBTI属HfN/HfO2高K栅结构的本征特征,而非工艺缺陷引起的;进一步研究表明,该HfN/HfO2高K栅结构中观察到的NBTI与传统的SiO2基栅介质p-MOSFET器件中观察 到的NBTI具有类似的特征,可以被所谓的反应-扩散(R-D)模型表征: HfN/HfO2 栅结构p-MOSFET器件的NBTI效应的起源可以归为衬底注入空穴诱导的界面反应机理,即在负 偏置和温度应力作用下,从Si衬底注入的空穴诱导了Si衬底界面Si-H键断裂这一化学反应的 发生,并由此产生了Si陷阱在Si衬底界面的积累和H原子在介质层内部的扩散 ,这种Si陷阱的界面积累和H原子的扩散导致了器件NBTI效应的发生. 关键词: 高K栅介质 负偏置-温度不稳定性(NBTI) 反应-扩散(R-D)模型  相似文献   

5.
由于负偏置温度不稳定性和热载流子注入,p型金属氧化物半导体场效应晶体管(pMOSFET)将在工作中不断退化,而其SiO2/Si界面处界面态的积累是导致其退化的主要原因之一. 采用三维器件数值模拟方法,基于130 nm体硅工艺,研究了界面态的积累对相邻pMOSFET之间单粒子电荷共享收集的影响. 研究发现,随着pMOSFET SiO2/Si界面处界面态的积累,相邻pMOSFET漏端的单粒子电荷共享收集量均减少. 还研究了界面态的积累对相邻反相器中单粒子电荷共享收集 关键词: 负偏置温度不稳定性 电荷共享收集 双极放大效应 单粒子多瞬态  相似文献   

6.
利用射频磁控溅射方法,在n+-Si衬底上淀积SiO2/Si/SiO2纳米双势垒单势阱结构,其中Si层厚度为2至4nm,间隔为0.2nm,邻近n+-S i衬底的SiO2层厚度固定为1.5nm,另一SiO2层厚度固定为3nm.为了 对比研究,还制备了Si层厚度为零的结构,即SiO2(4.5nm)/n+-Si 结构.在经过600℃氮气下退火30min,正面蒸上半透明Au膜,背面也蒸Au作欧姆接触后,所 有样品都在反向偏置(n-Si的电压高于Au电极的电压)下发光,而在正向偏压 下不发光.在一定的反向偏置下,电流和电致发光强度都随Si层厚度的增加而同步振荡,位 相相同.所有样品的电致发光谱都可分解为相对高度不等的中心位于2.26eV(550nm)和1.85eV (670nm)两个高斯型发光峰.分析指出该结构电致发光的机制是:反向偏压下的强电场使Au/( SiO2/Si/SiO2)纳米双势垒/n+-Si结构发生了雪崩击穿 ,产生大量的电子-空穴对,它们在纳米SiO2层中的发光中心(缺陷或杂质)上复 合而发光. 关键词: 电致发光 纳米双势垒 高斯型发光峰 雪崩击穿  相似文献   

7.
SiC外延层表面化学态的研究   总被引:2,自引:0,他引:2       下载免费PDF全文
用高分辨X射线光电子能谱仪(XPS)和傅里叶变换红外(FTIR)光谱仪研究了SiC外延层表面的组分结构. XPS宽扫描谱,红外掠反射吸收谱及红外镜面反射谱的解析结果说明SiC外延层表面是由Si—O—Si和Si—CH2—Si聚合体构成的非晶SiCxOy:H. SiC外延层表面的化学态结构为Si(CH2)4,SiO(CH2)3,SiO2(CH3)2,SiO3(CH3),Si—Si,游离H2O,缔合OH,Si—OH,O和O2. 根据化学态结构和元素电负性确定了化学态的各原子芯电子束缚能顺序,并与XPS窄扫描谱拟合结果相对比,建立了化学态与其束缚能的对应关系,进而用Si(CH2)4的实际C 1s束缚能值进行校正,确定了各化学态的束缚能. 结果发现,除了SiCxOy(x=1,2,3,4,x+y=4)的Si 2p束缚能彼此不同外,其C 1s和O 1s彼此也不相同,其中SiO2(CH3)2和SiO3(CH3)的C 1s束缚能与CHm和C—O中C 1s的相近,对此从化学态结构,元素电负性和邻位效应进行了解释. 关键词: SiC 化学态 XPS FTIR  相似文献   

8.
李建康  姚熹 《物理学报》2005,54(6):2938-2944
通过MOD法在Si(100)和Pt(111)/Ti/SiO2/Si基片上制备出LaNiO3 ( LNO)薄膜.再通过修 正的Sol-gel法,在Pt(111)/Ti/SiO2/Si,LNO/Si(100)和LNO/Pt/Ti/SiO2< /sub>/Si三种衬底上 制备出具有择优取向的Pb(Zr0.52Ti0.48)O3铁电薄膜. 经XRD分析表明,L NO薄膜具有(100)择优取向的类钙钛矿结构;PZT薄膜均具有钙钛矿结构,且在Pt(111)/Ti/S iO2/Si衬底上的薄膜以(110)择优取向,在LNO/Pt/Ti/SiO2/Si和LN O/Si(100)衬底上的 薄膜以(100)择优取向.经场发射SEM分析和介电、铁电性能测试表明,在LNO/Si和LNO/Pt/Ti /SiO2/Si衬底上的PZT薄膜的平均粒径、介电常数以及剩余极化强度均比以Pt/T i/SiO2/Si为衬底的薄膜大. 关键词: 3薄膜')" href="#">LaNiO3薄膜 PZT铁电薄膜 择优取向 剩余极化强度  相似文献   

9.
刘宇安  杜磊  包军林 《物理学报》2008,57(4):2468-2475
研究了金属氧化物半导体(MOS)器件在高、中、低三种栅压应力下的热载流子退化效应及其1/fγ噪声特性.基于Si/SiO2界面缺陷氧化层陷阱和界面陷阱的形成理论,结合MOS器件1/f噪声产生机制,并用双声子发射模型模拟了栅氧化层缺陷波函数与器件沟道自由载流子波函数及其相互作用产生能级跃迁、交换载流子的具体过程.建立了热载流子效应、材料缺陷与电参量、噪声之间的统一物理模型.还提出了用噪声参数Sf 关键词: 金属氧化物半导体场效应管 热载流子 fγ噪声')" href="#">1/fγ噪声  相似文献   

10.
本文中研究了O+(200keV,1.8×1018/cm2)和N+(190keV,1.8×1018/cm2)注入Si形成SOI(Silicon on Insulator)结构的界面及埋层的化学组成。俄歇能谱的测量和研究结果表明:注O+的SOI结构在经1300℃,5h退火后,其表层Si和氧化硅埋层的界面存在一个不饱和氧化硅状态,氧化硅埋层是由SiO2相和这不饱和氧化硅态组成,而且氧化硅埋层和体硅界面不同于表层Si和氧化硅埋层界面;注N+的SOI结构在经1200℃,2h退火后,其氮化硅埋层中存在一个富N的疏松夹层,表层Si和氮化硅埋层界面与氮化硅埋层和体硅界面性质亦不同。这些结果与红外吸收和透射电子显微镜及离子背散射谱的分析结果相一致。还对两种SOI结构界面与埋层的不同特征的原因进行了分析讨论。 关键词:  相似文献   

11.
The defects at the Si/SiO2 interface have been studied by the deep-level transient spectroscopy (DLTS) technique in p-type MOS structures with and without gold diffusion. The experimental results show that the interaction of gold and Si/SiO2 interface defect,Hit(0.494), results in the formation of a new interface de-fect, Au-Hit(0.445). Just like the interface defect, Hit(0.494), the new interface defect possesses a few interesting properties, for example, when the gate voltage applied across the MOS structure reduces the energy interval between Fermi-level and Si valence band of the Si surface to values smaller than the hole ionization Gibbs free energy of the defect, a sharp DLTS peak is still observable; and the hole apparent activation energy increases with the decrease of the Si surface potential barrier height. These properties can be successfully explained with the transition energy band model of the Si/SiO2 interface.  相似文献   

12.
X-ray photoelectron spectroscopy (XPS) measurements under bias can observe low density interface states for metal-oxide-semiconductor (MOS) diodes with low densities. This method can give energy distribution of interface states for ultrathin insulating layers for which electrical measurements cannot be performed due to a high density leakage current. During the XPS measurements, a bias voltage is applied to the rear semiconductor surface with respect to the ∼3 nm-thick front platinum layer connected to the ground, and the bias voltage changes the occupation of interface states. Charges accumulated in the interface states shift semiconductor core levels at the interface, and thus the analysis of the bias-induced shifts of the semiconductor core levels measured as a function of the bias voltage gives energy distribution of interface states. In the case of Si-based MOS diodes, the energy distribution and density of interface states strongly depend on the atomic density of silicon dioxide (SiO2) layers and the interfacial roughness, respectively. All the observed interface state spectra possess peaked-structures, indicating that they are due to defect states. An interface state peak near the Si midgap is attributable to isolated Si dangling bonds at the interface, while those above and below the midgap to Si dangling bonds interacting weakly with Si or oxygen atoms in the SiO2 layers. A method of the elimination of interface states and defect states in Si using cyanide solutions has been developed. The cyanide method simply involves the immersion of Si in KCN solutions. Due to the high Si-CN bond energy of ∼4.5 eV, the bonds are not ruptured at 800 °C and upon irradiation. The cyanide treatment results in the improvement of the electrical characteristics of MOS diodes and solar cells.  相似文献   

13.
This paper describes the effect of ionizing radiation on the interface properties of Al/Ta2O5/Si metal oxide semiconductor (MOS) capacitors using capacitance–voltage (CV) and current–voltage (IV) characteristics. The devices were irradiated with X-rays at different doses ranging from 100?rad to 1?Mrad. The leakage behavior, which is an important parameter for memory applications of Al/Ta2O5/Si MOS capacitors, along with interface properties such as effective oxide charges and interface trap density with and without irradiation has been investigated. Lower accumulation capacitance and shift in flat band voltage toward negative value were observed in annealed devices after exposure to radiation. The increase in interfacial oxide layer thickness after irradiation was confirmed by Rutherford Back Scattering measurement. The effect of post-deposition annealing on the electrical behavior of Ta2O5 MOS capacitors was also investigated. Improved electrical and interface properties were obtained for samples deposited in N2 ambient. The density of interface trap states (Dit) at Ta2O5/Si interface sputtered in pure argon ambient was higher compared to samples reactively sputtered in nitrogen-containing plasma. Our results show that reactive sputtering in nitrogen-containing plasma is a promising approach to improve the radiation hardness of Ta2O5/Si MOS devices.  相似文献   

14.
The defects at the Si/SiO2 interface have been studied by the deep-level transient spectroscopy (DLTS) technique in p-type MOS structures with and without gold diffusion. The experimental results show that the interaction of gold and Si/SiO2 interface defect,Hit(0.494), results in the formation of a new interface de-fect, Au-Hit(0.445). Just like the interface defect, Hit(0.494), the new interface defect possesses a few interesting properties, for example, when the gate voltage applied across the MOS structure reduces the energy interval between Fermi-level and Si valence band of the Si surface to values smaller than the hole ionization Gibbs free energy of the defect, a sharp DLTS peak is still observable; and the hole apparent activation energy increases with the decrease of the Si surface potential barrier height. These properties can be successfully explained with the transition energy band model of the Si/SiO2 interface.  相似文献   

15.
Formation of defect states on semiconductor surfaces, at its interfaces with thin films and in semiconductor volumes is usually predetermined by such parameters as semiconductor growth process, surface treatment procedures, passivation, thin film growth kinetics, etc. This paper presents relation between processes leading to formation of defect states and their passivation in Si and GaAs related semiconductors and structures. Special focus is on oxidation kinetics of yttrium stabilized zirconium/SiO2/Si and Sm/GaAs structures. Plasma anodic oxidation of yttrium stabilized zirconium based structures reduced size of polycrystalline silicon blocks localised at thin film/Si interface. Samarium deposited before oxidation on GaAs surface led to elimination of EL2 and/or ELO defects in MOS structures. Consequently, results of successful passivation of deep traps of interface region by CN atomic group using HCN solutions on oxynitride/Si and double oxide layer/Si structures are presented and discussed. By our knowledge, we are presenting for the first time the utilization of X-ray reflectivity method for determination of both density of SiO2 based multilayer structure and corresponding roughnesses (interfaces and surfaces), respectively.  相似文献   

16.
The properties of ultra-thin oxide/Si and very-thin oxide/Si structures prepared by wet chemical oxidation in nitric acid aqueous solutions (NAOS) and passivated in HCN aqueous solutions were investigated by electrical, optical and structural methods. n- and p-doped (1 0 0) crystalline Si substrates were used. There were identified more types of interface defect states in dependence on both post-oxidation treatment and passivation procedure. On samples prepared on n-type Si, continuous spectrum of defect states of 0.05-0.2 eV range and discrete defect traps, ∼ECB − 0.26 eV and ∼ECB − 0.39 eV, were found. All mentioned defects are related with various types of Si dangling bonds and/or with SiOx precipitates. Post-metallization annealing of investigated MOS structures reduced the interface defect density and suppressed the leakage currents. It did not change spectral profile of interface defect states in the Si band gap. In addition, there are presented following two optical phenomena: relation between amplitude of photoluminescence signal of NAOS samples and parameters of chemical oxidation process and quantum confinement effect observed on samples containing Si grains of size less as ∼2 nm.  相似文献   

17.
From ab initio studies employing the pseudopotential method and the density functional scheme, we report on progressive changes in geometry, electronic states, and atomic orbitals on Si(0 0 1) by adsorption of different amounts of Bi coverage. For the 1/4 ML coverage, uncovered Si dimers retain the characteristic asymmetric (tilted) geometry of the clean Si(0 0 1) surface and the Si dimers underneath the Bi dimer have become symmetric (untilted) and elongated. For this geometry, occupied as well as unoccupied surface states are found to lie in the silicon band gap, both sets originating mainly from the uncovered and tilted silicon dimers. For the 1/2 ML coverage, there are still both occupied and unoccupied surface states in the band gap. The highest occupied state originates from an elaborate mixture of the pz orbital at the Si and Bi dimer atoms, and the lowest unoccupied state has a ppσ* antibonding character derived from the Bi dimer atoms. For 1 ML coverage, there are no surface states in the fundamental bulk band gap. The highest occupied and the lowest unoccupied states, lying close to band edges, show a linear combination of the pz orbitals and ppσ* antibonding orbital characters, respectively, derived from the Bi dimer atoms.  相似文献   

18.
This paper attempts to realize unpinned high-k insulator-semiconductor interfaces on air-exposed GaAs and In0.53Ga0.47As by using the Si interface control layer (Si ICL). Al2O3 was deposited by ex situ atomic layer deposition (ALD) as the high-k insulator. By applying an optimal chemical treatment using HF acid combined with subsequent thermal cleaning below 500 °C in UHV, interface bonding configurations similar to those by in situ UHV process were achieved both for GaAs and InGaAs after MBE growth of the Si ICL with no trace of residual native oxide components. As compared with the MIS structures without Si ICL, insertion of Si ICL improved the electrical interface quality, a great deal both for GaAs and InGaAs, reducing frequency dispersion of capacitance, hysteresis effects and interface state density (Dit). A minimum value of Dit of 2 × 1011 eV−1 cm−2 was achieved both for GaAs and InGaAs. However, the range of bias-induced surface potential excursion within the band gap was different, making formation of electron layer by surface inversion possible in InGaAs, but not possible in GaAs. The difference was explained by the disorder induced gap state (DIGS) model.  相似文献   

19.
The HF treatment removes the native oxide and lays behind the dangling bonds over the Si surface which causes the increment in density of interface traps (Dit) through the direct deposition of high-k dielectric on Si. Here, we propose the facile method for reduction of interface traps and improvement in barrier height with the (NH4)2S treatment on Al2O3/Si interfaces, which can be used as the base for the non-volatile memory device. The AFM was used to optimize the treatment time and surface properties, while XPS measurements were carried out to study the interface and extract the barrier height (ΦB). The short period of 20 s treatment shows the improvement in the barrier height (1.02 eV), while the one order reduction in the Dit (0.84 × 1012 cm2/eV) of sulfur passivated Al/Al2O3/Si MOS device. The results indicate the favorable passivation of the dangling bonds over the Si surfaces covered by sulfur atoms.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号