首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 62 毫秒
1.
ICP power/RF power, operating pressure, and Cl2/BCl3 gas mixing ratio are altered to investigate the effect of input process parameters on the etch characteristics of GaN films. The etch selectivity of GaN over SiO2 and photoresist is studied. Although higher ICP/RF power can obtain higher GaN/photoresist etch selectivity, it can result in faceting of sidewall and weird sidewall profile due to photoresist mask erosion. Etch rates of GaN and SiO2 decrease with the increase of operating pressure, and etch selectivity of GaN over SiO2 increases with the increasing operating pressure at fixed ICP/RF power and mixture component. The highest etch selectivity of GaN over SiO2 is 7.92, and an almost vertical etch profile having an etch rate of GaN close to 845.3 nm/min can be achieved. The surface morphology and root-mean-square roughness of the etched GaN under different etching conditions are evaluated by atomic force microscopy. The plasma-induced damage of GaN is analyzed using photoluminescence (PL) measurements. The optimized etching process, used for mesa formation during the LED fabrication, is presented. The periodic pattern can be transferred into GaN using a combination of Cl2/BCl3 plasma chemistry and hard mask SiO2. Patterning of the sapphire substrate for fabricating LED with improved extraction efficiency is also possible using the same plasma chemistry.  相似文献   

2.
The etch rates, surface morphology and sidewall profiles of features formed in GaN/InGaN/AlGaN multiple quantum well light-emitting diodes by Cl2-based dry etching are reported. The chlorine provides an enhancement in etch rate of over a factor of 40 relative to the physical etching provided by Ar and the etching is reactant-limited until chlorine gas flow rates of at least 50 standard cubic centimeters per minute. Mesa sidewall profile angle control is possible using a combination of Cl2/Ar plasma chemistry and SiO2 mask. N-face GaN is found to etch faster than Ga-face surfaces under the same conditions. Patterning of the sapphire substrate for improved light extraction is also possible using the same plasma chemistry.  相似文献   

3.
The surface treatment effects of sapphire substrate on the ZnO thin films grown by magnetron sputtering were studied. The sapphire substrates properties have been investigated by means of atomic force microscopy (AFM) and X-ray diffraction rocking curves (XRCs). The results show that sapphire substrate surfaces have the best quality by CMP with subsequent chemical etching. The surface treatment effects of sapphire substrate on the ZnO thin films were examined by X-ray diffraction (XRD) and photoluminescence (PL) measurements. Results show that the intensity of (0 0 2) diffraction peak of ZnO thin films on sapphire substrates treated by CMP with subsequent chemical etching was strongest, FWHM of (0 0 2) diffraction peak is the narrowest and the intensity of UV peak of PL spectrum is strongest, indicating surface treatment on sapphire substrate preparation may improve ZnO thin films crystal quality and photoluminescent property.  相似文献   

4.
The as-cutted sapphire wafers are planarized by the grinding and polishing two-step machining processes with micrometer B4C and nanometer silica as abrasives, respectively. The material removal rates (MRRs) of two processes are measured. During the polishing process, the MRR increases with the down-pressure increased, whereas the rotational speeds have less effect on the MRR. The alkaline colloidal silica is more favorable than the acidic to polish sapphire wafer. The ground and polished surfaces of the substrate are compared by scanning electron microscopy, atomic force microscopy, and X-ray rocking curves. Our results show that B4C abrasives are effective in elimination of the ununiformity in thickness within a wafer. The colloidal silica can achieve a nanoscale flatness of wafer, but the lasting polishing time seems unfavorable. The polishing process is also analyzed in terms of chemical mechanical polishing mechanism.  相似文献   

5.
We characterized the surface defects in a-plane GaN, grown onto r-plane sapphire using a defect-selective etching (DSE) method. The surface morphology of etching pits in a-plane GaN was investigated by using different combination ratios of H3PO4 and H2SO4 etching media. Different local etching rates between smooth and defect-related surfaces caused variation of the etch pits made by a 1:3 ratio of H3PO4/H2SO4 etching solution. Analysis results of surface morphology and composition after etching by scanning electron microscopy (SEM) and X-ray photoelectron spectroscopy (XPS) demonstrated that wet chemical etching conditions could show the differences in surface morphology and chemical bonding on the a-plane GaN surface. The etch pits density (EPD) was determined as 3.1 × 108 cm−2 by atom force microscopy (AFM).  相似文献   

6.
《Current Applied Physics》2010,10(2):416-418
We studied nonselective, vertical dry etching of GaAs and AlGaAs/GaAs structure in high pressure capacitively coupled BCl3/N2 plasmas. The operating pressure was fixed at 150 m Torr. We found that there was an optimized process condition for nonselective and vertical etching of GaAs and AlGaAs/GaAs at the relatively high pressure. It was noted that there was a range of % N2 (i.e. 20–40%) where nonselective etching of GaAs over AlGaAs could be achieved in the BCl3/N2 mixed plasma. We also found that dry etching of GaAs and AlGaAs/GaAs structure provided quite vertical and smooth surface when % N2 was in the range of 0–20% in the BCl3/N2 plasma. The maximum etch rates for GaAs (0.41 μm/min) and AlGaAs/GaAs structure (0.42 μm/min) were obtained with 20–30% N2 composition in the plasma.  相似文献   

7.
Inductively coupled plasma (ICP) etching of GaN is systemically investigated by changing ICP power/RF bias power, operating pressure, and Cl2/BCl3 gas mixing ratio. The hexagonal etch pits related to screw dislocation existing along GaN epitaxial layer were observed on the etched GaN surface after ICP etching. The intensity of band-edge emission is significantly reduced from the etched n-GaN surface, which reveals that plasma-induced damage are generated after ICP etching. The oblique sidewall is transferred into GaN using a combination of Cl2/BCl3 plasma chemistry and hard mask SiO2. By adjusting ICP etching process parameters, oblique sidewalls with various oblique angles can be formed, allowing for conformal metal lines coverage across the mesa structures, which can play an important role in the interconnection of multiple microchips for light emitting diodes (LEDs) fabrication.  相似文献   

8.
To improve the light extraction efficiency of GaN-based light-emitting diodes (LEDs), periodic semisphere patterns with 3.5 μm width, 1.2 μm height, and 0.8 μm spacing were formed on sapphire substrate by dry etching using BCl3/Cl2 gas chemistry. The indium tin oxide (ITO) transparent conductive layer was patterned by wet etching to reduce the total internal reflection existing along between p-GaN, ITO, and air. At 350 mA injection current, the high power LED by integrating patterned sapphire substrate with patterned ITO technology exhibited a 36.9% higher light output power than the conventional LEDs.  相似文献   

9.
The laser etching using a surface adsorbed layer (LESAL) is a new method for precise etching of transparent materials with pulsed UV-laser beams. The influence of the processing parameters to the etch rate and the surface roughness for etching of fused silica, quartz, sapphire, and magnesium fluoride (MgF2) is investigated. Low etch rates of 1 nm/pulse and low roughness of about 1 nm rms were found for fused silica and quartz. This is an indication that different structural modifications of the material do not affect the etching significantly as long as the physical properties are not changed. MgF2 and sapphire feature a principal different etch behavior with a higher etch rate and a higher roughness. Both incubation effects as well as the temperature dependence of the etch rate can be interpreted by the formation of a modified near surface region due to the laser irradiation. At repetition rates up to 100 Hz, no changes of the etch rate have been observed at moderate laser fluences.  相似文献   

10.
The sapphire substrates are polished by traditional chemical mechanical polishing (CMP) and ultrasonic flexural vibration (UFV) assisted CMP (UFV-CMP) respectively with different pressures. UFV-CMP combines the functions of traditional CMP and ultrasonic machining (USM) and has special characteristics, which is that ultrasonic vibrations of the rotating polishing head are in both horizontal and vertical directions. The material removal rates (MRRs) and the polished surface morphology of CMP and UFV-CMP are compared. The MRR of UFV-CMP is two times larger than that of traditional CMP. The surface roughness (root mean square, RMS) of the polished sapphire substrate of UFV-CMP is 0.83 Å measured by the atomic force microscopy (AFM), which is much better than 2.12 Å obtained using the traditional CMP. And the surface flatness of UFV-CMP is 0.12 μm, which is also better than 0.23 μm of the traditional CMP. The results show that UFV-CMP is able to improve the MRR and finished surface quality of the sapphire substrates greatly. The material removal and surface polishing mechanisms of sapphire in UFV-CMP are discussed too.  相似文献   

11.
Etching and chemical mechanical polishing (CMP) experiments of the MgO single crystal substrate with an artificial scratch on its surface are respectively performed with the developed polishing slurry mainly containing 2 vol.% phosphoric acid (H3PO4) and 10-20 nm colloidal silica particles, through observing the variations of the scratch topography on the substrate surface in experiments process, the mechanism and effect of removing scratch during etching and polishing are studied, some evaluating indexes for effect of removing scratch are presented. Finally, chemical mechanical polishing experiments of the MgO substrates after lapped are conducted by using different kinds of polishing pads, and influences of the polishing pad hardness on removal of the scratches on the MgO substrate surface are discussed.  相似文献   

12.
杨倬波  黄华茂  施伟  王洪 《发光学报》2018,39(9):1297-1304
隔离槽的制作是实现阵列芯片单元独立的有效方法。本文采用感应耦合等离子体干法刻蚀(ICP)和具有高刻蚀比的SiO2与光刻胶混合掩膜在GaN基微尺寸LED上制备了3种深度的隔离槽和6种不同的芯片尺寸结构。通过电致发光(EL)和电容计表征不同刻蚀深度对LED芯片电学性能和电容大小的影响。实验结果表明,小尺寸的芯片有着更高的电流承受密度和更小的电容值,隔离槽刻蚀深度的增加能降低电容和电阻,从而使RC时间常数得到降低。有源层直径为120 μm的芯片从仅有Mesa刻蚀到完全刻蚀到蓝宝石衬底,其RC调制带宽从155 MHz增大到176 MHz。减小芯片尺寸和完全刻蚀到蓝宝石衬底能有效减小芯片RC常数。这些工作将有助于GaN基LED的未来设计和制造,以提高高频可见光通信的调制带宽和光功率。  相似文献   

13.
Zinc oxide (ZnO) bulk single crystals, which are of high purity and transparency with a large size of 2 in., are successfully grown by the hydrothermal method. The sliced substrates are chemomechanically polished to form an epi-ready surface. The impurities existing on the as-polished substrate surface are characterized before and after annealing by SIMS (secondary-ion mass spectroscopy), and a damaged surface layer due to chemomechanical polishing is evaluated by an optical method. We attempt to remove the layer damaged due to chemomechanical polishing with two approaches, chemical etching and thermal annealing in N2, O2 or high vacuum. The improvement of the surface morphology and crystallinity is evaluated by means of high resolution X-ray diffraction (XRD), photoluminescence (PL) and atomic force microscopy (AFM). In the PL measurements, the relative intensity of the first-order longitudinal optical phonon replica of the free exciton (FX-1LO) is compared against varying etching depth. The relative intensity becomes weak with increasing etch depth and finally saturates at the etch depth of 5 μm. After the annealing process, we grow ZnO thin films on these ZnO(0001) substrates by plasma-assisted molecular beam epitaxy. Films grown directly on the substrate show a 3D growth mode in the initial stage of growth with various surface treatments. To overcome this problem, we employ a low temperature grown ZnO buffer layer (LT-ZnO), and a two-dimensionally grown high quality ZnO film is attained.  相似文献   

14.
A low pressure etching of silicon carbide is qualitatively characterized by using a neural network. To construct a predictive model, the etch process was characterized by means of a 25 full factorial experiment. Experimental factors that were varied include radio frequency (rf) source power, bias power, pressure, O2 fraction, and gap between the plasma source and wafer. An additional 15 experiments were conducted to test the appropriateness of the trained model. An optimized etch rate model has a root mean-squared error of 12.78 nm/min. Model response surface behaviors were certified by actual measurements. Several noticeable features at lower pressure etching include a lower etch rate, inverse relationship between the source power level and the dc bias, and a smaller etch rate variation with the source power. The effect of the bias power on the etch rate or dc bias was affected little by the pressure level. Etch mechanisms for the gap variations were quite different depending on the bias powers. Several etching aspects useful for plasma control were revealed. PACS 52.75.R  相似文献   

15.
The dry etching of indium tin oxide (ITO) layers deposited on glass substrates was investigated in a high density inductively coupled plasma (ICP) source. This innovative low pressure plasma source uses a magnetic core in order to concentrate the electromagnetic energy on the plasma and thus provides for higher plasma density and better uniformity. Different gas mixtures were tested containing mainly hydrogen, argon and methane. In Ar/H2 mixtures and at constant bias voltage (−100 V), the etch rate shows a linear dependence with input power varying the same way as the ion density, which confirms the hypothesis that the etching process is mainly physical. In CH4/H2 mixtures, the etch rate goes through a maximum for 10% CH4 indicating a participation of the radicals to the etching process. However, the etch rate remains quite low with this type of gas mixture (around 10 nm/min) because the etching mechanism appears to be competing with a deposition process. With CH4/Ar mixtures, a similar feature appeared but the etch rate was much higher, reaching 130 nm/min at 10% of CH4 in Ar. The increase in etch rate with the addition of a small quantity of methane indicates that the physical etching process is enhanced by a chemical mechanism. The etching process was monitored by optical emission spectroscopy that appeared to be a valuable tool for endpoint detection.  相似文献   

16.
In the course of plasma etching we can observe a loading effect, i.e. the etch rate depends on the size of the etched surface exposed to the plasma. This phenomenon was explained according to Mogab by the plasma active etch species depletion via a rapid etch reaction. But there exist more coomplicated systems, for example SiO2-photoresist SCR17-CHF3, where the SiO2 surface can be etched and a polymer layer can grow on the photoresist surface. The etching of SiO2 is also influenced by different resists in the case of differences in their chemical structure. The degree of electrode coating with a resist influences both the etch rate of the masking layer. This may be used for the control of the etching selectivity in the SiO2-resist system independently of other process parameters.The author is grateful to Mr. Z. Pokorný for his help in preparing the SiO2 layers used in all experiments.  相似文献   

17.
为实现基于InP/InGaAsP材料的二维光子晶体结构低损伤、高各向异性的干法刻蚀,研究了对InP材料基于Cl2/BCl3气体的感应耦合等离子体刻蚀. 从等离子体轰击使衬底升温的角度分析了刻蚀机理,发现离子轰击加热引起的侧蚀与物理溅射在侧壁再沉积之间处于平衡时可以得到高各向异性刻蚀,平衡点将随ICP功率增高而向偏压减小方向移动,从而在近203 V偏压下得到陡直的侧壁. 在优化气体组分后,成功实现了光子晶体结构高各向异性的低偏压刻蚀. 关键词: 光子晶体 InP/InGaAsP 感应耦合等离子体 2/BCl3')" href="#">Cl2/BCl3 低偏压刻蚀  相似文献   

18.
《Applied Surface Science》2001,169(1-2):52-59
Wet chemical and plasma etch processes were developed for pattering of Sc2O3 films on GaN. Chlorine-based plasma chemistries produced a significant chemical enhancement of removal rate over pure Ar sputtering. The etching was anisotropic and did not significantly alter the surface composition of the Sc2O3 films. Reaction-limited wet etching in the HNO3/HCl/HF system was investigated as a function of solution formulation and temperature. The activation energy for the wet etching ranged from 8 to 14 kcal/mol and the etch rates were independent of solution agitation.  相似文献   

19.
《Applied Surface Science》2001,169(1-2):27-33
Several different plasma chemistries were investigated for dry etching of TiO2 thin films. Fluorine-based discharges produced the fastest etch rates (∼2000 Å min−1) and selectivities >1 for Si over TiO2. Chlorine-based discharges also showed a chemical enhancement over pure Ar sputtering and had selectivities <1 for Si over TiO2 for a range of plasma conditions. Methane–hydrogen discharges produced very slow etch rates, below those obtained with Ar sputtering. The etched surface morphologies of TiO2 were excellent in all three types of plasma chemistry. Small concentrations (2 at.%) of chlorine- or fluorine-containing residues were identified on the TiO2 surface after Cl2/Ar or SF6/Ar etching, but these residues were water soluble.  相似文献   

20.
The dry etching characteristics of bulk single-crystal zinc-oxide (ZnO) and RF-sputtered indium-zinc-oxide (IZO) films have been investigated using an inductively coupled high-density plasma in Ar/IBr and Ar/BI3. In both plasma chemistries, the etch rate of ZnO is very similar to that of IZO, which indicates that zinc and indium atoms are driven by a similar plasma etching dynamics. IBr and BI3-based plasmas show no enhancement of the etch rate over pure physical sputtering under the same experimental conditions. The etched surface morphologies are smooth, independent of the discharge chemistry. From Auger electron spectroscopy, it is found that the near-surface stoichiometry is unchanged within experimental error, indicating a low degree of plasma-induced damage.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号