首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 22 毫秒
1.
We report on the development of multilayer optics for the extreme ultra-violet (EUV) range. The optical performance of Al-based multilayer mirrors is discussed with regard to promising reflectivity and selectivity characteristics and the problems of the interfacial roughness for this type of multilayers. We demonstrate a possibility to reduce the average roughness by introducing additional metal layer (W or Mo) rather than depositing a buffer layer at each interface. We have prepared and tested Al/SiC, Al/W/SiC and Al/Mo/SiC multilayers of various periods for the spectral range from 15 to 40 nm, which is the range of increasing interest for high-order harmonic generation, synchrotron radiation and astrophysics. The structure of the three-component systems has been optimized in order to obtain the best reflectivity for each wavelength within the spectral range. We have shown that introduction of refractory metal in Al-based periodic stack can improve the optical performance of multilayer reflecting coatings designed for the EUV applications.  相似文献   

2.
We deposited Co/C multilayer mirrors for a wavelength of 4.77 nm and W/Si multilayer mirrors for a wavelength of 1.77 nm by use of ion-beam sputtering. The small-angle diffraction spectrum was used to analyze the structure of the multilayers. With a combination of the experimental diffraction spectra and Apeles’ theory for calculation of the interfacial roughnesses of the multilayers, the interfacial roughnesses of Co/C and W/Si are 0.80 nm and 0.60 nm, respectively, which are lower than that of the substrate. The reflectivity of the Co/C multilayer is measured to be about 20% and that of the W/Si multilayer about 1% at the grazing incidence angle of about 12°. Received: 30 May 2000 / Accepted: 1 August 2000 / Published online: 11 February 2002  相似文献   

3.
One of the most promising methods for next generation device manufacturing is extreme ultraviolet (EUV) lithography, which uses 13.5 nm wavelength radiation generated from freestanding plasma-based sources. The short wavelength of the incident illumination allows for a considerable decrease in printed feature size, but also creates a range of technological challenges not present for traditional optical lithography. Contamination and oxidation form on multilayer reflecting optics surfaces that not only reduce system throughput because of the associated reduction in EUV reflectivity, but also introduce wavefront aberrations that compromise the ability to print uniform features. Capping layers of ruthenium, films ∼2 nm thick, are found to extend the lifetime of Mo/Si multilayer mirrors used in EUV lithography applications. However, reflectivities of even the Ru-coated mirrors degrade in time during exposure to EUV radiation. Ruthenium surfaces are chemically reactive and are very effective as heterogeneous catalysts. In the present paper we summarize the thermal and radiation-induced surface chemistry of bare Ru exposed to gases; the emphasis is on H2O vapor, a dominant background gas in vacuum processing chambers. Our goal is to provide insights into the fundamental physical processes that affect the reflectivity of Ru-coated Mo/Si multilayer mirrors exposed to EUV radiation. Our ultimate goal is to identify and recommend practices or antidotes that may extend mirror lifetimes.  相似文献   

4.
A two-channel mirror reflecting both Fe-IX/X (λ = 17.1 nm) and He-II (30.4 nm) resonance lines at near normal incidence has been designed, fabricated and characterized. These two passbands are often chosen in space instruments designed for the observation of the solar corona. The mirror structure used for optimization is a superposition of two periodic multilayers with three components per period. It has been designed by using optimization software with an appropriate merit function. The theoretical reflectivity for both resonance lines can reach 0.25. It is shown that, by using a set of filters, one can select either the Fe-IX/X or the He-II channel. The spectral response of the two-channel mirror has been measured on synchrotron radiation source on a large wavelength range, from 12 nm to 35 nm. Experimental reflectivity reaches 0.32 for the Fe-IX/X line and 0.19 for the He-II line.  相似文献   

5.
 研究了极紫外波段的双功能光学元件。采用周期膜叠加的思想,运用遗传方法优化设计了在19.5 nm处高反,在30.4 nm处抑制的双功能多层膜。采用磁控溅射技术制备了多层膜,利用X射线衍射仪测试了多层膜的结构,在国家同步辐射实验室测试了双功能多层膜的反射特性。结果表明:制备出的双功能膜性能与设计相符,在入射角13°,19.5 nm处的反射率达到33.3%,接近传统的19.5 nm周期高反膜的反射率,并且在30.4 nm附近将反射率由1.1%降到9.6×10-4。  相似文献   

6.
用直流磁控溅射法结合掩模板控制膜厚的方法在Si衬底上制备了工作于6.8~11.0 nm波段的[Mo/B4C]60横向梯度多层膜。利用X射线掠入射反射测试以及同步辐射反射率测试对梯度多层膜的结构及性能进行了测试。X射线掠入射反射测试结果表明,多层膜周期厚度沿着长轴方向从4.39 nm逐渐增加到7.82 nm,周期厚度平均梯度为0.054 nm/mm。对横向梯度多层膜沿长轴方向每隔5 mm进行了一次同步辐射反射率测试,结果显示,横向梯度多层膜在45°入射角下的反射率约为10%,反射峰的半高全宽介于0.13 nm到0.31 nm之间。  相似文献   

7.
Reflection phase and amplitude of grazing incidence multilayer mirrors for CuKα radiation have been studied theoretically to evaluate phase correction effects of multilayer surface milling, which revealed good possibilities of correcting mirror substrate figure errors for focusing and imaging application. The mirror multilayers composed of base materials of Cu and Ni were studied in combination with Al, Be, C, Mg and Si for high reflectivity at a grazing angle of 3° incidence. The theoretical surface milling of Cu/Al multilayers of a period thickness of 1.478 nm provides phase correction of 1.7° per period, which corresponds to an accurate correction of substrate figure errors at a rate of 0.007 nm per period. Thus, the milling after the multilayer fabrication, compared to the milling before the multilayer fabrication, enables far more accurate phase correction with 200 times finer control.  相似文献   

8.
Extreme ultraviolet (EUV) optics play a key role in attosecond science since only with higher photon energies is it possible to achieve the wide spectral bandwidth required for ultrashort pulses. Multilayer EUV mirrors have been proposed and are being developed to temporally shape (compress) attosecond pulses. To fully characterize a multilayer optic for pulse applications requires not only knowledge of the reflectivity, as a function of photon energy, but also the reflected phase of the mirror. We develop the metrologies to determine the reflected phase of an EUV multilayer mirror using the photoelectric effect. The proposed method allows one to determine the optic's impulse response and hence its pulse characteristics.  相似文献   

9.
Recent extreme ultraviolet sources using high-harmonic generation in a rare gas make new optics developments necessary. We report on the study and development of multilayer structures with efficient reflectivity in the 35–75 eV energy range. We have optimized, deposited and characterized two aperiodic broadband mirrors consisting of a Mo, Si and B4C thin-film stack. We used the needle procedure in order to optimize mirror reflectivity. The magnetron sputter deposited multilayers have been calibrated and characterized using Cu K α grazing incidence X-ray reflectometry. Reflectivity measured at near-normal incidence on a synchrotron radiation source reaches 12% with a full width at half maximum of nearly 40 eV. Experimental results are compared with theoretical simulation using available optical constants for Mo, Si and B4C in this spectral range.  相似文献   

10.
We have developed a multilayer mirror for extreme UV (EUV) radiation (13.5?nm), which has near-zero reflectance for IR line radiation (10.6?μm). The EUV reflecting multilayer is based on alternating B4C and Si layers. Substantial transparency of these materials with respect to the IR radiation allowed the integration of the multilayer coating in a resonant quarter-wave structure for 10.6?μm. Samples were manufactured using magnetron sputtering deposition technique and demonstrated suppression of the IR radiation by up to 3 orders of magnitude. The EUV peak reflectance amounts 45% at 13.5?nm, with a bandwidth at FWHM being 0.284?nm. Therefore such a mirror could replace conventional multilayer mirrors to suppress undesired spectral components in monochromatic imaging applications, including EUV photolithography.  相似文献   

11.
Summary The development of multilayer optics has profound implications for soft-X-ray/UV astronomy, since it allows to extend the use of normal-incidence telescopes to cover the XUV region where lines are formed at greatly different temperatures (105–107 K). A multilayer mirror consists of alternating thin layers of suitable materials deposited on a substrate and its performance depends not only on the optical properties of the materials but also on the design of the multilayer. In this study we have computed the reflectivity of multilayer mirrors to select both the materials and the multilayers design to achieve the best performance in the wavelength range from 30 to 350 ?. Our calculations show that high theoretical reflectivities, from 0.2 to 0.8 and relatively narrow bandpasses, from ∼ 1? to ∼30 ?, can be obtained, in the wavelength range from 30 to 350 ?, by a suitable choice of the materials and of the multilayer design.  相似文献   

12.
We report on the development of Incoherent Broadband Cavity Enhanced Absorption Spectroscopy (IBBCEAS) using a blue light emitting diode (LED) for the detection of NO2 in laboratory ambient air. Absorption of the oxygen collisional pair in the atmosphere was also detected in the same spectral range. The mirror reflectivity was determined using a standard gas sample mixture of NO2, and calibrated with the help of the absorption spectrum of the oxygen collisional pair in pure oxygen at atmospheric pressure. Optimization of the experimental parameters was investigated and is discussed in detail. For the first time in IBBCEAS involving broadband absorption spectra, averaging time for signal-to-noise ratio enhancement has been optimized using Allan variance plot. 18.1 ppbv NO2 in laboratory ambient air has been retrieved from the absorption spectra using differential fitting method over a 40 nm spectral region centered at 470 nm. A minimum detection sensitivity of about 2.2 ppbv (1σ) for NO2 at atmospheric pressure has been achieved using the optimal averaging time of 100 s by means of a high finesse optical cavity formed with two moderate reflectivity (∼99.55%) mirrors. No purging of the cavity mirrors by high purity He or N2 gas streams was necessary to prevent contamination of the mirror faces for the in situ measurements.  相似文献   

13.
祝文秀  金春水  匡尚奇  喻波 《光学学报》2012,32(10):1031002-294
极紫外光刻是实现22nm技术节点的候选技术。极紫外光刻使用的是波长为13.5nm的极紫外光,但在160~240nm波段,极紫外光刻中的激光等离子体光源光谱强度、光刻胶敏感度以及多层膜的反射率均比较高,光刻胶在此波段的曝光会降低光刻系统的光刻质量。从理论和实验两方面验证了在传统Mo/Si多层膜上镀制SiC单层膜可对极紫外光刻中的带外波段进行有效抑制。通过使用X射线衍射仪、椭偏仪以及真空紫外(VUV)分光光度计来确定薄膜厚度、薄膜的光学常数以及多层膜的反射率,设计并制备了[Mo/Si]40SiC多层膜。结果表明,在极紫外波段的反射率减少5%的前提下,带外波段的反射率减少到原来的1/5。  相似文献   

14.
Our aim was to produce EUV multilayer mirrors with a small spectral bandwidth ΔE≤3 eV at 70 eV peak energy using UHV electron beam evaporation by varying the thickness ratio (Γ=) between the absorber layer and the bilayer. The deposition process was controlled by in situ soft X-ray reflectometry, and ion-beam polishing as well as substrate-heating methods were applied to reduce the interface roughness. The reflection properties of the Mo–Si multilayer mirrors prepared were characterized by hard and soft X-ray reflectometry and details of the multilayer structure were revealed from cross-sectional transmission electron microscopy. Received: 22 September 2000 / Accepted: 4 October 2000 / Published online: 30 November 2000  相似文献   

15.
X-ray multilayer mirrors of period ranging from 9.6 to 1.7 nm, deposited using ion beam sputtering, have been examined using grazing incidence X-ray reflectivity (GIXRR) and grazing incidence X-ray diffraction. Detailed analysis of GIXRR data revealed that significant amount of re-sputtering of Si layer takes place while W deposition is underway. Re-sputtering is mainly due to bombardment of high-energy neutrals getting reflected from the W target. Due to re-sputtering interface of the multilayer becomes asymmetric. This puts a major hindrance in avoiding the intermixing and achieving sharp interfaces at shorter periods. Maximum thickness of Si which gets lost due to re-sputtering during deposition is ∼0.8 nm. The shortest period multilayer estimated, that could be deposited without intermixing, was 2.7 nm. These results are of significance for developing low period W/Si multilayers.  相似文献   

16.
We have designed and grown a resonant, low-finesse quantum-dot saturable absorber mirror and subsequently modified the important parameters using chemical etching. The modulation depth and saturation fluence at the design wavelength of 1064 nm were modified by etching the sample to tune the cavity resonance. The device properties were characterised using normal incidence spectroscopic reflectivity measurements, intensity dependent reflectivity measurements and modelled using a transfer matrix approach. The saturable absorber mirror was used to facilitate self-starting, passively mode locked pulses in a neodymium vanadate laser operating at 1064 nm. The etching was found to affect the duration of the pulses, leading to temporal width tuning over a range of 94 ps. The shortest pulse duration of 84 ps was achieved for the cavity resonance close to 1064 nm, with an output power of 3 W. This method is an effective technique for post-growth engineering of the properties of semiconductor saturable absorber mirrors (SESAMs) with nanometre precision.  相似文献   

17.
高反射率Mo/B4C多层膜设计及制备   总被引:3,自引:2,他引:1       下载免费PDF全文
 运用遗传算法优化设计了Mo/B4C多层膜结构。入射光入射角度取10°时,设计的理想多层膜膜对数为150,周期为3.59 nm,Gamma值(Mo膜厚与周期的比值)为0.41,峰值反射率为33.29%。采用恒功率模式直流磁控溅射方法制作Mo/B4C多层膜。通过在Mo/B4C多层膜与基底之间增加15 nm厚的Cr粘附层,提高多层膜与基底的粘附力。另外,还采用调整多层膜Gamma值的方法减小其内应力,调整后多层膜结构周期为3.59 nm, Mo膜厚1.97 nm, B4C膜厚1.62 nm,峰值反射率26.34%。制备了膜对数为150的Mo/B4C膜并测量了其反射率,在波长7.03 nm处,Mo/B4C多层膜的近正入射反射率为21.0%。最后对测量结果进行了拟合,拟合得到Mo/B4C多层膜的周期为3.60 nm,Gamma值0.60,界面粗糙度为0.30 nm。  相似文献   

18.
张金帅  黄秋实  蒋励  齐润泽  杨洋  王风丽  张众  王占山 《物理学报》2016,65(8):86101-086101
W/Si多层膜反射镜在硬X射线天文望远镜中有重要应用. 为减小其应力对反射镜面形和望远镜分辨率的影响, 同时保证较高的反射率, 采用150, 175和200 ℃ 的低温退火工艺对采用磁控溅射镀制的W/Si周期多层膜进行后处理. 利用掠入射X射线反射测试和样品表面面形测试对退火前后W/Si多层膜的应力和结构进行表征. 结果表明, 在150 ℃ 退火3 h 后, 多层膜1级峰反射率和膜层结构几乎没有发生变化, 应力减少约27%; 在175 ℃ 退火3 h后, 多层膜膜层结构开始发生变化, 应力减少约50%; 在200 ℃退火3 h 后, 多层膜应力减小超过60%, 但1级布拉格峰反射率相对下降17%, 且膜层结构发生了较大变化. W, Si界面层的增大和相互扩散加剧是应力和反射率下降的主要原因.  相似文献   

19.
Platinum-carbon multilayer mirrors with a bilayer spacing of 50 Å were fabricated in an ultrahigh vacuum electron beam evaporator. The thermal stability of these multilayers was studied under vacuum annealing using X-ray reflectivity and X-ray diffraction. Up to 450°C, the bilayer spacing increases monotonically accompanied by a gradual increase in crystallite size and grain texture. At 500°C multilayer reflection vanishes, platinum crystallites grow abruptly, and there is a strong texture of platinum in the [220] -plane. Possible reasons for thermally induced structural modifications in these multilayers are discussed.  相似文献   

20.
We have fabricated periodic multilayers that comprise either Si/Tb or SiC/Tb bilayers, designed to operate as narrowband reflective coatings near 60 nm wavelength in the extreme ultraviolet (EUV). We find peak reflectance values in excess of 20% near normal incidence. The spectral bandpass of the best Si/Tb multilayer was measured to be 6.5 nm full width at half-maximum (FWHM), while SiC/Tb multilayers have a more broad response, of order 9.4 nm FWHM. Transmission electron microscopy analysis of Si/Tb multilayers reveals polycrystalline Tb layers, amorphous Si layers, and relatively large asymmetric amorphous interlayers. Thermal annealing experiments indicate excellent stability to 100 degrees C (1 h) for Si/Tb. These new multilayer coatings have the potential for use in normal incidence instrumentation in a region of the EUV where efficient narrowband multilayers have not been available until now. In particular, reflective Si/Tb multilayers can be used for solar physics applications where the coatings can be tuned to important emission lines such as O V near 63.0 nm and Mg X near 61.0 nm.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号