首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 285 毫秒
1.
Inductively coupled plasma (ICP) etching of GaN is systemically investigated by changing ICP power/RF bias power, operating pressure, and Cl2/BCl3 gas mixing ratio. The hexagonal etch pits related to screw dislocation existing along GaN epitaxial layer were observed on the etched GaN surface after ICP etching. The intensity of band-edge emission is significantly reduced from the etched n-GaN surface, which reveals that plasma-induced damage are generated after ICP etching. The oblique sidewall is transferred into GaN using a combination of Cl2/BCl3 plasma chemistry and hard mask SiO2. By adjusting ICP etching process parameters, oblique sidewalls with various oblique angles can be formed, allowing for conformal metal lines coverage across the mesa structures, which can play an important role in the interconnection of multiple microchips for light emitting diodes (LEDs) fabrication.  相似文献   

2.
The etch rates, surface morphology and sidewall profiles of features formed in GaN/InGaN/AlGaN multiple quantum well light-emitting diodes by Cl2-based dry etching are reported. The chlorine provides an enhancement in etch rate of over a factor of 40 relative to the physical etching provided by Ar and the etching is reactant-limited until chlorine gas flow rates of at least 50 standard cubic centimeters per minute. Mesa sidewall profile angle control is possible using a combination of Cl2/Ar plasma chemistry and SiO2 mask. N-face GaN is found to etch faster than Ga-face surfaces under the same conditions. Patterning of the sapphire substrate for improved light extraction is also possible using the same plasma chemistry.  相似文献   

3.
王长顺  潘煦  Urisu Tsuneo 《物理学报》2006,55(11):6163-6167
利用热氧化法在硅晶片上生长SiO2薄膜,结合光刻和磁控溅射技术在SiO2薄膜表面制备接触型钴掩模,通过掩模方法在硅表面开展了同步辐射光激励的表面刻蚀研究,在室温下制备了SiO2薄膜的刻蚀图样.实验结果表明:在同步辐射光照射下,通入SF6气体可以有效地对SiO2薄膜进行各向异性刻蚀,并在一定的气压范围内,刻蚀率随SF6气体浓度的增加而增加,随样品温度的下降而升高;如果在同步辐射光照射下,用SF6和O2的混合气体作为反应气体,刻蚀过程将停止在SiO2/Si界面,即不对硅刻蚀,实现了同步辐射对硅和二氧化硅两种材料的选择性刻蚀;另外,钴表现出强的抗刻蚀能力,是一种理想的同步辐射光掩模材料. 关键词: 同步辐射刻蚀 接触型钴掩模 二氧化硅薄膜  相似文献   

4.
The present paper investigates the surface roughness generated by reactive ion etching (RIE) on the location between silicon dioxide (SiO2) micro-pits structures. The micro-pit pattern on polymethyl methacrylate (PMMA) mask was created by an electron beam lithography tool. By using PMMA as a polymer resist mask layer for pattern transfer in RIE process, the carbon (C) content in etching process is increased, which leads to decrease of F/C ratio and causes domination of polymerization reactions. This leads to high surface roughness via self-organized nanostructure features generated on SiO2 surface which was analyzed using atomic force microscopy (AFM) technique. The etching chemistry of CHF3 plasma on PMMA masking layer and SiO2 is analyzed to explain the polymerization. The surface root-mean-square (RMS) roughness below 1 nm was achieved by decreasing the RF power to 150 W and process pressure lower than 10 mTorr.  相似文献   

5.
U型槽的干法刻蚀工艺是GaN垂直沟槽型金属-氧化物-半导体场效应晶体管(MOSFET)器件关键的工艺步骤,干法刻蚀后GaN的侧壁状况直接影响GaN MOS结构中的界面态特性和器件的沟道电子输运.本文通过改变感应耦合等离子体干法刻蚀工艺中的射频功率和刻蚀掩模,研究了GaN垂直沟槽型MOSFET电学特性的工艺依赖性.研究结果表明,适当降低射频功率,在保证侧壁陡直的前提下可以改善沟道电子迁移率,从35.7 cm^2/(V·s)提高到48.1 cm^2/(V·s),并提高器件的工作电流.沟道处的界面态密度可以通过亚阈值摆幅提取,射频功率在50 W时界面态密度降低到1.90×10^12 cm^-2·eV^-1,比135 W条件下降低了一半.采用SiO2硬刻蚀掩模代替光刻胶掩模可以提高沟槽底部的刻蚀均匀性.较薄的SiO2掩模具有更小的侧壁面积,高能离子的反射作用更弱,过刻蚀现象明显改善,制备出的GaN垂直沟槽型MOSFET沟道场效应迁移率更高,界面态密度更低.  相似文献   

6.
Mechanical grinding, chemical mechanical polishing (CMP) and dry etching process are integrated to remove sapphire substrate for fabricating thin-film light-emitting diodes. The thinning of sapphire substrate is done by fast mechanical grinding followed by CMP. The CMP can remove or reduce most of the scratches produced by mechanical grinding, recovering both the mechanical strength and wafer warpage to their original status and resulting in a smoother surface. The surface morphology and surface roughness on grinded and polished sapphire substrate are measured by using atomic force microscopy (AFM). The etch rates of sapphire by BCl3-based dry etching are reported. Pattern transfer to the physical and chemical stability of sapphire is made possible by inductively coupled plasma (ICP) etch system that generates high density plasma. The patterning of several microns period in sapphire wafer by using a combination of BCl3/Ar plasma chemistry and SiO2 mask is presented. The anisotropic etch profile formed on sapphire wafer is obtained from scanning electron microscopy (SEM) images.  相似文献   

7.
The influence of the mask material used for formation of GaN mesa-structures using plasma-chemical etching in a BCl3 : Ar : N2 atmosphere is studied. It is shown that a bilayer SiO2/Cr mask in which the thickness of the chromium layer is six to seven times smaller than a desired etch depth provides effective protection of the surface and allows the formation of structures with a flat surface for an etch depth of up to 2.5 μm. When the mask is produced by conventional lithography, the slope of the side walls is no more than 10° and decreases when liftoff lithography is applied.  相似文献   

8.
Inductively coupled plasma (ICP) etching of GaN with an etching depth up to 4 μm is systemically studied by varying ICP power, RF power and chamber pressure, respectively, which results in etch rates ranging from ∼370 nm/min to 900 nm/min. The surface morphology and damages of the etched surface are characterized by optical microscope, scanning electron microscope, atomic force microscopy, cathodoluminescence mapping and photoluminescence (PL) spectroscopy. Sub-micrometer-scale hexagonal pits and pillars originating from part of the structural defects within the original GaN layer are observed on the etched surface. The density of these surface features varies with etching conditions. Considerable reduction of PL band-edge emission from the etched GaN surface indicates that high-density non-radiative recombination centers are created by ICP etching. The density of these non-radiative recombination centers is found largely dependent on the degree of physical bombardments, which is a strong function of the RF power applied. Finally, a low-surface-damage etch recipe with high ICP power, low RF power, high chamber pressure is suggested.  相似文献   

9.
Etching of amorphous Al2O3 and polycrystalline Y2O3 films has been investigated using an inductively coupled reactive ion etch system. The etch behaviour has been studied by applying various common process gases and combinations of these gases, including CF4/O2, BCl3, BCl3/HBr, Cl2, Cl2/Ar and Ar. The observed etch rates of Al2O3 films were much higher than Y2O3 for all process gases except for Ar, indicating a much stronger chemical etching component for the Al2O3 layers. Based on analysis of the film etch rates and an investigation of the selectivity and patterning feasibility of possible mask materials, optimized optical channel-waveguide structures were fabricated in both materials. In Al2O3, channel waveguides were fabricated with BCl3/HBr plasma and using a standard resist mask, while in Y2O3, channel waveguides were fabricated with Ar and using either a resist or a sputter deposited Al2O3 mask layer. The etched structures in both materials exhibit straight sidewalls with minimal roughness and sufficient etch depths (up to 530 nm for Al2O3 and 250 nm for Y2O3) for defining waveguides with strong optical confinement. Using the developed etch processes, low additional optical propagation losses (on the order of 0.1 dB/cm) were demonstrated in single-mode ridge waveguides in both Al2O3 and Y2O3 layers at 1550 nm. PACS 42.70.-a; 42.82.-m; 42.82.Cr  相似文献   

10.
为实现基于InP/InGaAsP材料的二维光子晶体结构低损伤、高各向异性的干法刻蚀,研究了对InP材料基于Cl2/BCl3气体的感应耦合等离子体刻蚀. 从等离子体轰击使衬底升温的角度分析了刻蚀机理,发现离子轰击加热引起的侧蚀与物理溅射在侧壁再沉积之间处于平衡时可以得到高各向异性刻蚀,平衡点将随ICP功率增高而向偏压减小方向移动,从而在近203 V偏压下得到陡直的侧壁. 在优化气体组分后,成功实现了光子晶体结构高各向异性的低偏压刻蚀. 关键词: 光子晶体 InP/InGaAsP 感应耦合等离子体 2/BCl3')" href="#">Cl2/BCl3 低偏压刻蚀  相似文献   

11.
In the course of plasma etching we can observe a loading effect, i.e. the etch rate depends on the size of the etched surface exposed to the plasma. This phenomenon was explained according to Mogab by the plasma active etch species depletion via a rapid etch reaction. But there exist more coomplicated systems, for example SiO2-photoresist SCR17-CHF3, where the SiO2 surface can be etched and a polymer layer can grow on the photoresist surface. The etching of SiO2 is also influenced by different resists in the case of differences in their chemical structure. The degree of electrode coating with a resist influences both the etch rate of the masking layer. This may be used for the control of the etching selectivity in the SiO2-resist system independently of other process parameters.The author is grateful to Mr. Z. Pokorný for his help in preparing the SiO2 layers used in all experiments.  相似文献   

12.
The effect of etching time on the statistical properties of hydrophilic surfaces of SiO2/TiO2/glass nano bilayers has been studied using atomic force microscopy (AFM) and a stochastic approach based on a level crossing analysis. We have created rough surfaces of the hydrophilic SiO2/TiO2 nano bilayer system by using 26% potassium hydroxide (KOH) solution. Measuring the average apparent contact angle allowed us to assess the degree of hydrophilicity, and the optimum condition was determined to be 10 min etching time. A level crossing analysis based on AFM images provided deeper insight into the microscopic details of the surface topography. With different etching times, it has been shown that the average frequency of visiting a height with positive slope behaves in a Gaussian manner for heights near the mean value and obeys a power law for heights far away from the mean value. Finally, by applying the generalized total number of crossings with positive slope, it was found that the both high heights and deep valleys of the surface have a great effect on the hydrophilic degree of the SiO2/TiO2/glass nano bilayer investigated system.  相似文献   

13.
60 MHz pulsed radio frequency (rf) source power and 2 MHz continuous wave rf bias power, were used for SiO2 etching masked with an amorphous carbon layer (ACL) in an Ar/C4F8/O2 gas mixture, and the effects of the frequency and duty ratio of the 60 MHz pulse rf power on the SiO2 etch characteristics were investigated. With decreasing duty ratio of the 60 MHz pulse rf power, not only the etch rate of SiO2 but also the etch rate of ACL was decreased, however, the etch selectivity of SiO2 over ACL was improved with decreasing the duty ratio. On the other hand, when the pulse frequency was varied at a constant duty ratio, no significant change in the etch rate and etch selectivity of both materials could be observed. The variation of the etch characteristics was believed to be related to the change in the gas dissociation characteristics caused by the change in the average electron temperature for different pulsing conditions. The improvement in the etch selectivity with the decrease of duty ratio, therefore, was related to the decreased gas dissociation of C4F8 by the decrease of average electron temperature and, which resulted in a change in composition of the fluorocarbon polymer on the etched materials surface from C–C rich to CF2 rich. With decreasing the duty ratio, not only the etch selectivity but also the improvement in the SiO2 etch profile could be observed.  相似文献   

14.
The dry etching characteristics of transparent and conductive indium-zinc oxide (IZO) films have been investigated using an inductively coupled high-density plasma. While the Cl2-based plasma mixture showed little enhancement over physical sputtering in a pure argon atmosphere, the CH4/H2/Ar chemistry produced an increase of the IZO etch rate. On the other hand, the surface morphology of IZO films after etching in Ar and Ar/Cl2 discharges is smooth, whereas that after etching in CH4/H2/Ar presents particle-like features resulting from the preferential desorption of In- and O-containing products. Etching in CH4/H2/Ar also produces formation of a Zn-rich surface layer, whose thickness (∼40 nm) is well-above the expected range of incident ions in the material (∼1 nm). Such alteration of the IZO layer after etching in CH4/H2/Ar plasmas is expected to have a significant impact on the transparent electrode properties in optoelectronic device fabrication.  相似文献   

15.
We demonstrate a kinetically-driven patterning scheme to selectively position arrays of Ge or Si nanoparticles within lithographically defined HfO2 windows. The surface reactions enabling patterning are revealed through temperature programmed desorption experiments and selectivity of the deposition is verified by X-ray photoelectron spectroscopy and scanning electron microscopy. Patterning is possible by exploiting the different reactivity of Ge and Si on HfO2 and SiO2 surfaces and employing a sacrificial SiO2 mask on which adatoms etch the SiO2 surface and do not accumulate to form nanocrystals.  相似文献   

16.
MgCaO films grown by rf plasma-assisted molecular beam epitaxy and capped with Sc2O3 are promising candidates as surface passivation layers and gate dielectrics on GaN-based high electron mobility transistors (HEMTs) and metal-oxide semiconductor HEMTs (MOS-HEMTs), respectively. Two different plasma chemistries were examined for etching these thin films on GaN. Inductively coupled plasmas of CH4/H2/Ar produced etch rates only in the range 20-70 Å/min, comparable to the Ar sputter rates under the same conditions. Similarly slow MgCaO etch rates (∼100 Å/min) were obtained with Cl2/Ar discharges under the same conditions, but GaN showed rates almost an order of magnitude higher. The MgCaO removal rates are limited by the low volatilities of the respective etch products. The CH4/H2/Ar plasma chemistry produced a selectivity of around 2 for etching the MgCaO with respect to GaN.  相似文献   

17.
Laser interference lithography (LIL) has the capability to fabricate large-area microstructures on the photoresist with only a couple of minutes’ exposure and development. In this study, LIL was adopted to fabricate micro/nanostructures in quartz by combining the following dry-etching process either reactive ion etching (RIE) or inductively coupled plasma (ICP). A layer of gold film was coated on the quartz to act as a hard mask during the dry-etching process. A microhole array in quartz with a thin gold film covered on the surface was fabricated when choosing RIE. Each hole in the microhole array was surrounded with gold nanoparticle capped silica (Au/SiO2) cones when using ICP instead of RIE. This is due to the thin gold film that serves as the mask for creating the surface roughness required for creating the silica cone structure.  相似文献   

18.
This report presents the results of the novel fabrication of 4H-SiC pillars with nanopores using ICP-RIE dry etching. Cl2/Ar gas plasma with various mass flow rates was used in this etching process to produce SiC nanopillars without using patterned etch mask. Cylindrical pillars of 300 nm diameter and 500 nm height with smooth side walls were etched on SiC wafer. The etching condition for the optimized fabrication of SiC nanopillars is presented in this report. Each nanopillar has been produced with a nanosize pore at the center along its length and up to the middle of the cylindrical nanopillar; it is a unique feature has not ever been reported in case of SiC. Inclusion of oxygen was found influence the formation of nanopillars by the effect of SiO2 micro masking. The formation of self assembled SiO2 layer and its micro masking effect in the fabrication of this unique nanostructure has been investigated using TEM, STEM and EDAX measurements.  相似文献   

19.
Highly conducting films of p-type CuCrO2 are attractive as hole-injectors in oxide-based light emitters. In this paper, we report on the development of dry etch patterning of CuCrO2 thin films. The only plasma chemistry that provided some chemical enhancement was Cl2/Ar under inductively coupled plasma conditions. Etch rates of ∼500 Å min−1 were obtained at chuck voltages around −300 V and moderate source powers. In all cases, the etched surface morphologies were improved relative to un-etched control samples due to the smoothing effect of the physical component of the etching. The threshold ion energy for the onset of etching was determined to be 34 eV. Very low concentrations (≤1 at.%) of residual chlorine were detected on the etched surfaces but could be removed by simple water rinsing.  相似文献   

20.
周美丽  付亚波  陈强  葛袁静 《中国物理》2007,16(4):1101-1104
This paper reports that the SiOx barrier films are deposited on polyethylene terephthalate substrate by plasmaenhanced chemical vapour deposition (PECVD) for the application of transparent barrier packaging. The variations of 02/Tetramethyldisiloxane (TMDSO) ratio and input power in radio frequency (RF) plasma are carried out to optimize barrier properties of the SiOx coated film. The properties of the coatings are characterized by Fourier transform infrared, water vapour transmission rate (WVTR), oxygen transmission rate (OTR), and atomic force microscopy analysers. It is found that the 02/TMDSO ratio exceeding 2:1 and the input power over 200 W yield SiOx films with low carbon contents which can be good to the barrier (WVTR and OTR) properties of the SiOx coatings. Also, the film properties not only depend on oxygen concentration of the inlet gas mixtures and input power, but also relate to the surface morphology of the coating.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号