首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 125 毫秒
1.
张蒙茜  冯霄 《化学学报》2022,80(2):168-179
降低工业分离过程的能耗为缓解全球能源紧缺问题提供了有效途径. 相比传统工业分离技术, 膜分离技术能耗低、经济效益高, 开发高效的膜材料是提升膜分离性能的重要手段. 共轭微孔聚合物(CMP)膜具有刚性永久超微孔道、高孔隙率、孔结构及化学环境可调控、交联骨架稳定性好等优势, 在分离领域具有良好的应用前景. 概述了近年来CMP膜的制备方法并简要对比了其优缺点, 阐述了CMP膜在气体分离、有机溶剂纳滤、离子筛分和手性分离等领域的分离机理和研究进展, 为开发新型具有良好分离性能的CMP膜材料提供研究思路.  相似文献   

2.
采取超高压提取,DEAE-Sepharose Fast Flow和Sephadex G-100柱层析分离纯化得到虫草多糖(CMP),通过高效凝胶色谱(HPGPC)、气相色谱(GC)和傅里叶红外光谱(FT-IR)对其理化特征进行了表征,并对CMP抗氧化及免疫调节活性进行了测定.结果显示CMP是纯度较高杂多糖,由鼠李糖、甘露糖、葡萄糖和半乳糖4个单糖组成,结果表明超高压是一种高效的菌多糖提取技术,提取得到的CMP可以探索作为天然的抗氧化和免疫调节剂应用于功能食品和药品.  相似文献   

3.
计算机硬盘基片CMP中表面膜特性的分析研究   总被引:1,自引:0,他引:1  
雷红 《无机化学学报》2009,25(2):206-212
目前,普遍采用化学机械抛光(Chemical-mechanical polishing,CMP)技术对计算机硬盘基片(盘片)表面进行原子级平整。CMP加工中,盘片表面膜及其特性对CMP过程及CMP性能具有关键作用。本文分别采用俄歇能谱(AES)、X射线光电子能谱(XPS)、扫描电镜(SEM)、纳米硬度计、电化学极化法等分析手段对盘片表面物理、化学及机械特性进行了研究,发现盘片CMP后表面发生了氧化,氧化膜在盘片的表层,厚度在纳米量级,氧化产物为Ni(OH)2;氧化膜为较软的、疏松的、粗糙的多孔结构;氧化膜的存在加快了盘片表面的腐蚀磨损。结合盘片CMP试验结果,推测盘片的CMP机理为盘片表面氧化生成机械强度较低的Ni(OH)2氧化膜及随后氧化膜的机械和化学去除,二者的不断循环实现表面的全局平面化。  相似文献   

4.
多尺度共轭微孔聚合物的可控合成   总被引:1,自引:0,他引:1  
吴可义  郭佳 《化学学报》2015,73(6):480-486
自2007年首次报道以来, 作为一种由共轭单元构建的三维聚合物网络骨架, 共轭微孔聚合物(Conjugated Microporous Polymer, CMP)通常都是以不溶不熔的固体粉末形式存在; 尽管这种材料结合了优异的多孔性、稳定的骨架结构以及多样化的功能, 显示了在众多领域的应用价值和广阔前景, 但又始终面临着自身性质带来的难以解决的加工性问题. 为了让这种材料充分发挥自身优点, 应用于除吸附分离等以外的光电、传感、催化等能源环境相关的领域, 需要在多尺度范围内调控CMP生长和形成, 获得微纳尺度的CMP微球以及宏观尺度的CMP薄膜、涂层或是凝胶, 从而提高其溶液性质以便于进一步加工处理, 或是直接获得可用于构筑器件的薄膜. 从目前的研究进展来看, 一共有四种研究策略来解决这一问题, 分别是设计合成: (1)可溶性CMP聚合物, (2)溶液可分散CMP纳米微球, (3) CMP(复合)薄膜, (4)有机相CMP化学凝胶. 这些工作采用了新的聚合方法、催化剂或功能单体, 使CMP材料初步实现了溶液中的加工、组装、复合以及器件的构筑, 展示了在光学传感、光电转换、能量存储、非均相催化等优异的性质. 尽管目前已报道的工作仍旧面临较多的局限性, 然而基于创新的思路和大量的探索, 这类新型的功能高分子材料将会逐步成为一个重要的多孔材料分支, 具有光明的发展前景.  相似文献   

5.
以咔唑为原料,经过两步反应制备得到N-乙基咔唑-3-甲醛,其结构经X射线单晶衍射测定属于单斜晶系,空间群为P21/n。再以N-乙基咔唑-3-甲醛与1,3-二氨-2-丙醇为原料,设计、合成了一种新型双席夫碱荧光探针分子CMP。借助荧光光谱在体积比为6∶4的DMSO/H2O缓冲溶液(Tris-HCl,p H=7.0)中研究了探针CMP对Cu2+的选择性识别。研究结果表明,探针CMP与Cu2+以1∶2的比例配位,结合常数为1.52×105L·mol-1,检出限为0.205μmol·L-1。回收实验表明,探针分子CMP可应用于环境水样中Cu2+的检测。  相似文献   

6.
肖明芳  何明芳  吕浩  应汉杰 《色谱》2005,23(5):564-564
微生物多酶系统转化法是在胞苷三磷酸(CTP)的制备方法中比较有产业化前景的技术,即由胞嘧啶核苷酸(即胞苷一磷酸,CMP)经微生物(如啤酒酵母)转化而得到CTP,但在其产物中可能还存在中间产物胞苷二磷酸(CDP).有关核苷酸物质的高效液相色谱检测方法国外已有报道,我们在此基础上简化了色谱条件,开发出一套适用于对酶转化液中CMP,CDP和CTP精确定量的方法.  相似文献   

7.
以通用结构O,O-二甲基硫代磷酸酯为目标检测基团,制备针对甲氧基有机磷杀虫剂的广谱特异性抗体。利用O,O-二甲基硫代磷酸钠和氯乙酸合成半抗原S-羧甲基-O,O-二甲基二硫代磷酸酯(CMP),通过混合酸酐法(MA)和活性酯法(AE)分别与牛血清蛋白(BSA)和卵清蛋白(OVA)偶联。CMP-MA-BSA、CMP-AE-BSA作为免疫原均获得了免疫应答。其中,CMP-AE-BSA所获得的抗血清效价最高,为256000。研究了有机溶剂种类及含量、pH因素对ELISA曲线的影响,确定CMP酶联免疫分析方法(ELISA)的最佳工作条件,CMP的最低检测浓度为0.076μg/L,IC50为93.97μg/L。以14种常见有机磷杀虫剂为对象,检测抗体对其交叉反应,测定结果表明:抗体对马拉硫磷、稻丰散、乐果、亚胺硫磷、倍硫磷、甲基嘧啶磷、甲基对硫磷、杀螟硫磷及杀扑磷等均有识别作用。IC50分别为69.92、136.90、230.39、416.84、508.57、510.38、607.21、835.30和850.21μg/L。该技术可用于多种甲氧基有机磷杀虫剂的快速定性或半定量检测。  相似文献   

8.
Surface planarity is of paramount importance in microelectronics. Chemical Mechanical Polishing (CMP) is the most viable approach to address the planarity issues during the fabrication of advanced semiconductor devices. With the integration of copper as interconnect and low k materials as dielectric, the CMP community is facing an ever increasing demand on reducing defectivity without scarifying production throughput. Key issues in CMP today include reduction of surface defectivity and enh…  相似文献   

9.
The short review discusses a family of amorphous porous organic polymers,conjugated microporous polymer(CMP),which is distinctive in fusion of a large π-electronic conjugation within the topological network platform.The kind of polymers has shown the synthetic variety,the advanced capability and the wide applicability in contrast to the reported analogues.Herein,the significant progress of CMP applications has been summarized to showcase their capability in constructing photo-functional systems.  相似文献   

10.
本文在豚鼠心室肌重新探讨心肌有效不应期(ERP)的传统临界膜电位值(CMP)(-55—160mV),观察细胞动作电位(AP)复极到+10—-60mV时,对试验刺激的电反应和收缩反应。37℃正常台氏液中,中等强度的试验刺激下,35个细胞中,约有1/3的细胞,在正于-54mV出现局部反应和试验AP。最高在0mV开始出现局部电位,-30mV开始出现试验AP,多数属慢反应电位。37℃ 1.5mmol/L KCl台氏液中,在正于-54mV引发试验AP的比率高达86%。从试验AP的起始膜电位的分布,说明豚鼠心室肌ERP的CMP,正于Hoffman等确定的传统临界值,有相当范围可变性。每个心室肌纤维的临界值也不相同,接近正态分布。ERP与相对不应期界线并不严格。较高温度和低[K]_0是使ERP的CMP正移的主要因素。  相似文献   

11.
Chemical mechanical polishing (CMP) is an essential step in metal and dielectric planarization in multilayer microelectronic device fabrication. In the CMP process it is necessary to minimize the extent of surface defect formation while maintaining good planarity and optimal material removal rates. These requirements are met through the control of chemical and mechanical interactions during the polishing process by engineering the slurry chemistry, particulate properties, and stability. In this study, the performance of surfactant-stabilized silica CMP slurries at high pH and high ionic strengths are investigated with particular emphasis on the particle-particle and particle-substrate interactions. It is shown that for the design of consistently high performing slurries, stability of abrasive particles must be achieved under the dynamic processing conditions of CMP while maintaining sufficient pad-particle-wafer interactions.  相似文献   

12.
二甲氧基苯胺;铜在甲胺介质铁氰化钾化学-机械抛光液中的电化学行为  相似文献   

13.
Chemical mechanical polishing (CMP) has become an essential process in the manufacturing of advanced microelectronic devices. More recently, CMP has also been applied to the process of other advanced materials such as optical crystals and thin films. Typically, a CMP slurry is formulated as an aqueous dispersion which may contain abrasive particles, activating agent, passivating agent, surfactant, etc. Due to its sensitivity to water, hygroscopic crystals must not be processed with aqueous based slurry. In this study, a new abrasive-free system based on water-in-oil microemulsion was investigated to address this challenge. More specifically, a dispersion made of dodecanol, Triton X-100, and water was studied for its potential application in KH2PO4(KDP) crystal processing. In this unique polishing system, water molecules are caged into micelles so the reaction between KDP and water is controlled. As a result, the static etch rate of the substrate surface is minimized. During polishing process, the frictional action between crystal surface and pad leads to the release of reactive water molecules. The material removal is, thus, enhanced. In this paper, the techniques used to characterize such abrasive-free system were first introduced. The water-in-oil structures were characterized and confirmed by conductivity, dynamic lighting scattering and dynamic nuclear magnetic resonance (NMR) measurements. The performance of this system on the process of KDP crystals was then discussed. The static etch rate and the material removal rate in polishing process were measured under various conditions in order to elucidate the polishing mechanism. Finally, the potential application of such a novel nonaqueous polishing system in CMP beyond KDP crystals is discussed.  相似文献   

14.
Abstract

Chemical mechanical polishing (CMP) has become the preferred route for achieving wafer‐level global planarization in microelectronics device manufacturing. However, the micro‐ to molecular‐level mechanisms that control its performance and optimization are not well understood. In CMP, complex slurry chemistries react with the first few atomic layers on the wafer surfaces forming a chemically modified film. This film is subsequently mechanically abraded by nanosized slurry particles to achieve local and global planarity for multi‐level metalization. For optimal CMP performance, high material removal rates with minimal surface defectivity are required. This can be achieved by controlling the extent of interparticle and particle–substrate interactions, which are facilitated through the manipulation of the slurry composition, solution chemistry, as well as operational parameters. Interparticle interactions must be engineered to maintain slurry stability to minimize the number and extent of surface defects during polishing while maintaining adequate removal rates. The fundamental considerations, which are necessary for the development of high performance CMP slurries, are discussed in this article through model silica CMP systems.  相似文献   

15.
The preparation of stable colloidal slurries is often difficult in industries where many chemical components are added into the slurries. A critically acclaimed example of such an industry is the chemical mechanical polishing (CMP) industry which involves polishing slurries with several chemical additives. In the present work, the stabilization of a slurry used for CMP of metals is investigated in detail. This high ionic strength slurry has been stabilized using an optimaJ combination of sodium dodecyl sulfate (anionic surfactant) and Tween 80 (nonionic surfactant). The amount of surfactant needed to impart stability has been investigated in this study for two different sizes of abrasive particles. It has been found that the amount of surfactant needed to stabilize the slurry increases as the total surface area per gram of panicles increases. Slurry stabilization has been correlated with particle size measurements. It has been found that the average panicle size of the slurry decreases as the stability of the slurry increases. Stable slurries have been found to have particle sizes close to those of the particles before agglomeration. It is proposed that the stabilized CMP slurries can lead to reduced defects in wafers by preventing agglomeration of panicles.  相似文献   

16.
A cationic polymer, poly(diallyldimethylammonium chloride), or PDADMAC (MW ≈ 200,000), at a concentration of 250 ppm was used to enhance polysilicon removal rates (RRs) to ~600 nm/min while simultaneously suppressing both silicon dioxide and silicon nitride RRs to <1 nm/min, both in the absence or in the presence of ceria or silica abrasives during chemical mechanical polishing (CMP). These results suggest that aqueous abrasive-free solutions of PDADMAC are very attractive candidates for several front-end-of-line (FEOL) CMP processes. Possible mechanisms for the enhancement of poly-Si RR and the suppression of oxide and nitride RRs are proposed on the basis of the RRs, contact angle data on poly-Si films, zeta potentials of polishing pads, polysilicon films, silicon nitride particles, and silica and ceria abrasives, thermogravimetric analysis, and UV-vis spectroscopy data.  相似文献   

17.
In this work, surface analysis technology is employed to investigate the removal mechanism and the selection of abrasive during fused silica chemical mechanical polishing (CMP). Morphology of abrasives is inspected by scanning electron microscope (SEM). The atomic force microscope (AFM) is used to determine the surface roughness (Rq) and undulating (PV) of the polished fused silica surface. The results show that abrasive morphology has a tremendous influence on removal rate (MRR) and PV but has little effect on the Rq. The AFM and infrared spectroscopy (IR) analysis show that a soft layer, called “silica gel membrane (SGM),” existed on the polished surface is the critical reason for the differences of MRR, Rq, and PV during CMP. For three kinds of micro-ceria abrasives, the abrasive with a rougher surface gets more opportunities to contact the surface of fused silica, yielding higher MRR. Regarding different kinds of nano-abrasives, there are more SGM induced by nano-ceria abrasive resulting from higher chemical reaction rate. The element contaminations on the polished fused silica have been assessed using X-ray photoelectron spectroscopy (XPS), and the results suggest that there are depths of 3.6 and 5.4-nm element contaminations on the polished surface of fused silica with nano-ceria and nano-alumina abrasives, respectively. While the surface polished by nano-silica is free of heterogeneous element contaminations. Based on study results, a novel polishing slurry is designed by modifying the chemical composition of nano-silica. Comparing with ceria-based slurry, the silica-based slurry has better removal efficiency, and surface quality in fused silica precision machining.  相似文献   

18.
The microelectronics industry is focused on increasing chip complexity, improving the density of electron carriers, and decreasing the dimensions of the interconnects into the sub-0.25 mum regime while maintaining high aspect ratios. Water-based chemical mechanical planarization or polishing (CMP) faces several technical and environmental challenges. Condensed CO2 has significant potential for replacing current CMP solvents as a "dry" etching medium because of its unique properties. In working toward a condensed CO2-based CMP process, we have successfully investigated the oxidation and chelation of solid copper metal in liquid and supercritical CO2 using ethyl peroxydicarbonate and a beta-diketone chelating agent.  相似文献   

19.
程璇  林昌健 《电化学》2001,7(2):189-194
化学机械抛光 (CMP)技术是同时利用化学和机械作用来获得固体表面亚微米尺度上平整性非常有效的方法 ,从 90年代初期起已成为制备高质量镜头和镜面及集成电路制造过程中硅片表面预处理工艺中最常用的技术之一 .钨的化学机械抛光是用钨坯获得硅片球面平整度的重要工艺 .其过程实际上是先将钨沉积到硅上已有的薄粘附层 -氮化钛上 ,然后进行化学机械抛光 .当抛光阶段接近终了时 ,氮化钛和钨表面将同时暴露在化学抛光液中形成电偶对 ,并在界面上发生腐蚀行为 ,从而影响硅片的球面平整度 ,降低半导体器件的性能与可靠性 .本文通过采用电化学直流极化技术 ,分别获得钨与氮化钛在 0 .0 1mol/LKNO3溶液中或含有三种典型的研磨剂 (H2 O2 ,KIO3,Fe(NO3) 3)溶液中的极化曲线 ,同时设计了一种特殊的电解槽以测量钨和氮化钛之间相互作用的电流 ,初步研究了 patterned硅片上钨和氮化钛界面形成电偶对时的腐蚀行为 .根据所测的钨和氮化钛电位可知 ,当钨和氮化钛表面同时暴露在抛光液中时将形成电偶对 ,氮化钛成为阴极 ,钨为阳极 ,并于界面发生电化学反应 ,表面的不均匀腐蚀将造成硅片平整度的降低 .结果表明 ,当溶液中含有H2 O2 时钨和氮化钛界面的腐蚀速度最大 ,而当溶液中含有Fe(NO3) 3时的钨和氮化钛界面则几乎不发  相似文献   

20.
Surface planarity is of paramount importance in microelectronics. Chemical Mechanical Polishing (CMP) is the most viable approach to address the planarity issues during the fabrication of advanced semiconductor devices. With the integration of copper as interconnect and low k materials as dielectric, the CMP community is facing an ever increasing demand on reducing defectivity without scarifying production throughput. Key issues in CMP today include reduction of surface defectivity and enhancement of planarization efficiency. More specifically, the polished surface should be free of defects such as scratches, pits, corrosion spots, and residue particles. To accomplish these goals, we have investigated a wide range of pathways including reduction of oversized particles,use of unique abrasives such as functionalized nanoparticles, and development of polishing solution without abrasive particles.In this presentation, some fundamental aspects of the CMP process will be given first.Several academic and industrial examples will be used to illustrate the issues and challenges during the implementation of various slurry designs into the CMP processes.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号