首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 614 毫秒
1.
The formation of self-assembled monolayers (SAMs) of adsorbed cationic or anionic surfactant molecules on atomically flat H-terminated Si(111) surfaces in aqueous solutions was investigated by in situ AFM measurements, using octyl trimethylammonium chloride (C8TAC), dodecyl trimethylammonium chloride (C12TAC), octadecyl trimethylammonium chloride (C18TAC)) sodium dodecyl sulfate (STS), and sodium tetradecyl sulfate (SDS). The adsorbed surfactant layer with well-ordered molecular arrangement was formed when the Si(111) surface was in contact with 1.0x10(-4) M C18TAC, whereas a slightly roughened layer was formed for 1.0x10(-4) M C8TAC and C12TAC. On the other hand, the addition of alcohols to solutions of 1.0x10(-4) M C8TAC, C12TAC, or SDS improved the molecular arrangement in the adsorbed surfactant layer. Similarly, the addition of a salt, KCl, also improved the molecular arrangement for both the cationic and anionic surfactant layers. Moreover, the adsorbed surfactant layer with a well-ordered structure was formed in a solution of mixed cationic (C12TAC) and anionic (SDS) surfactants, though each surfactant alone did not form the well-ordered layer. These results were all explained by taking into account electrostatic repulsion between ionic head groups of adsorbed surfactant molecules as well as hydrophobic interaction between their alkyl chains, which increases with the increasing chain length, together with the increase in the hydrophobic interaction or the decrease in the electrostatic repulsion by incorporating alcohol molecules into the adsorbed surfactant layer, the decrease in the electrostatic repulsion by increasing the concentration of counterions, and the decrease in the electrostatic repulsion by alternate arrangement of cationic and anionic surfactant molecules. The present results have revealed various factors to form the well-ordered adsorbed surfactant layers on the H-Si(111) surface, which have a possibility of realizing the third generation surfaces with flexible structures and functions easily adaptable to circumstances.  相似文献   

2.
Electrochemically active self-assembled monolayers (SAM) have been successfully fabricated with atomic-scale uniformity on a silicon (Si)(111) surface by immobilizing vinylferrocene (VFC) molecules through Si-C covalent bonds. The reaction of VFC with the hydrogen-terminated Si (H-Si)(111) surface was photochemically promoted by irradiation of visible light on a H-Si(111) substrate immersed in n-decane solution of VFC. We found that aggregation and polymerization of VFC was avoided when n-decane was used as a solvent. Voltammetric quantification revealed that the surface density of ferrocenyl groups was 1.4×10(-10) mol cm(-2), i.e., 11% in substitution rate of Si-H bond. VFC-SAMs were then formed by the optimized preparation method on n-type and p-type Si wafers. VFC-SAM on n-type Si showed positive photo-responsivity, while VFC-SAM on p-type Si showed negative photo-responsivity.  相似文献   

3.
Si(111) surfaces have been functionalized with Si-CC-R species, where R = H or -CH3, using a two-step reaction sequence involving chlorination of H-Si(111) followed by treatment with Na-CC-H or CH3-CC-Na reagents. The resulting surfaces showed no detectable oxidation as evidenced by X-ray photoelectron spectroscopic (XPS) data in the Si 2p region, electrochemical measurements of Si-H oxidation, or infrared spectroscopy. The Si-CC-R-terminated surfaces exhibited a characteristic CC stretch in the infrared at 2179 cm-1, which was strongly polarized perpendicular to the Si(111) surface plane. XPS measurements in the C 1s region showed a low binding energy peak indicative of Si-C bonding, with a coverage that was, within experimental error, identical to that of the CH3-terminated Si(111) surface, which has been shown to fully terminate the Si atop sites on an unreconstructed Si(111) surface. The Si-CC-H-terminated surfaces were further functionalized by exposure to n-C4H9Li followed by exposure to para Br-C6H5-CF3, allowing for introduction of para -C6H5CF3 groups while maintaining the desirable chemical and electrical properties that accompany complete Si-C termination of the atop sites on the Si(111) surface.  相似文献   

4.
The structure of self-assembled monolayers (SAMs) of undecylenic acid methyl ester (SAM-1) and undec-10-enoic acid 2-bromo-ethyl ester (SAM-2) grown on hydrogen-passivated Si(111) were studied by X-ray reflectivity (XRR), X-ray standing waves (XSW), X-ray fluorescence (XRF), atomic force microscopy, and X-ray photoelectron spectroscopy (XPS). The two different SAMs were grown by immersion of H-Si(111) substrates into the two different concentrated esters. UV irradiation during immersion was used to create Si dangling bond sites that act as initiators of the surface free-radical addition process that leads to film growth. The XRR structural analysis reveals that the molecules of SAM-1 and SAM-2 respectively have area densities corresponding to 50% and 57% of the density of Si(111) surface dangling bonds and produce films with less than 4 angstroms root-mean-square roughness that have layer thicknesses of 12.2 and 13.2 angstroms. Considering the molecular lengths, these thicknesses correspond to a 38 degrees and 23 degrees tilt angle for the respective molecules. For SAM-2/Si(111) samples, XRF analysis reveals a 0.58 monolayer (ML) Br total coverage. Single-crystal Bragg diffraction XSW analysis reveals (unexpectedly) that 0.48 ML of these Br atoms are at a Si(111) lattice position height that is identical to the T1 site that was previously found by XSW analysis for Br adsorbed onto Si(111) from a methanol solution and from ultrahigh vacuum. From the combined XPS, XRR, XRF, and XSW evidence, it is concluded that Br abstraction by reactive surface dangling bonds competes with olefin addition to the surface.  相似文献   

5.
Transmission infrared spectroscopy (TIRS) has been used to investigate the surface-bound species formed in the two-step chlorination/alkylation reaction of crystalline (111)-oriented Si surfaces. Spectra were obtained after hydrogen termination, chlorine termination, and reaction of the Cl-Si(111) surface with CH(3)MgX or C(2)H(5)MgX (X = Cl, Br) to form methyl (CH(3))- or ethyl (C(2)H(5))-terminated Si(111) surfaces, respectively. Freshly etched H-terminated Si(111) surfaces that were subsequently chlorinated by immersion in a saturated solution of PCl(5) in chlorobenzene were characterized by complete loss of the Si-H stretching and bending modes at 2083 and 627 cm(-1)(,) respectively, and the appearance of Si-Cl modes at 583 and 528 cm(-1). TIRS of the CH(3)-terminated Si(111) surface exhibited a peak at 1257 cm(-1) polarized perpendicular to the surface assigned to the C-H symmetrical bending, or "umbrella" motion, of the methyl group. A peak observed at 757 cm(-1) polarized parallel to the surface was assigned to the C-H rocking motion. Alkyl C-H stretch modes on both the CH(3)- and C(2)H(5)-terminated surfaces were observed near 2900 cm(-1). The C(2)H(5)-terminated Si(111) surface additionally exhibited broad bands at 2068 and 2080 cm(-1), respectively, polarized perpendicular to the surface, as well as peaks at 620 and 627 cm(-1), respectively, polarized parallel to the surface. These modes were assigned to the Si-H stretching and bending motions, respectively, resulting from H-termination of surface atoms that did not form Si-C bonds during the ethylation reaction.  相似文献   

6.
A comparative study on mechanisms of radical initiated self-directed growth of styrene molecules on the H-terminated Si(111) and Si(100) has been carried out by using quantum chemical and molecular mechanics methods. Several possible H-abstraction pathways through formations of transition states containing five-, six-, and even eight-membered ring structures are investigated with the aid of surface cluster models and density functional theory calculations. It has been demonstrated by employing periodic surface models and molecular mechanics simulations that the surface pattern and intermolecular interactions between phenyl groups play important roles in the self-directed growth processes. The formation of cluster-shaped aggregation of styrene molecules on H-Si(111) results from the undirectional chain reactions, due to the isotropic hexagonal arrangement of surface sites. On the contrary, the anisotropic style of H-Si(100) induces a strong directional preference for H-abstractions, following an order of the inter Si-Si dimer > the intra Si-Si dimer > the inter Si-Si dimer row. The one-dimensionally ordered structures of single and double lines along the Si-Si dimer row are thus formed on H-Si(100). The self-directed growths of styrene molecules on both H-Si(111) and H-Si(100) are revealed to be stage-dependent.  相似文献   

7.
Fourier transform infrared spectroscopy and first principles calculations have been used to investigate the reaction of atomically smooth, hydrogen-terminated Si(111) (H-Si) surfaces with anhydrous liquid methanol. After 10 min of reaction at room temperature, a sharp absorbance feature was apparent at approximately 1080 cm(-1) that was polarized normal to the surface plane. Previous reports have identified this mode as a Si-O-C stretch; however, the first principles calculations, presented in this work, indicate that this mode is a combination of an O-C stretch with a CH3 rock. At longer reaction times, the intensity of the Si-H stretching mode decreased, while peaks attributable to the O-C coupled stretch and the CH3 stretching modes, respectively, increased in intensity. Spectra of H-Si(111) surfaces that had reacted with CD3OD showed the appearance of Si-D signals polarized normal to the surface as well as the appearance of vibrations indicative of Si-OCD3 surface species. The data are consistent with two surface reactions occurring in parallel, involving (a) chemical attack of hydrogen-terminated Si(111) terraces by CH3OH, forming Si-OCH3 moieties having their Si-O bond oriented normal to the Si(111) surface and (b) transfer of the acidic hydrogen of the methanol to the silicon surface, either through a direct H-to-D exchange mechanism or through a mechanism involving chemical step-flow etching of Si-H step sites.  相似文献   

8.
Single-crystal Si(100) surfaces have been functionalized by using a two-step radical chlorination-Grignard (R = MgCl, R = CH3, C2H5, C4H9, C6H5, or CH2C6H5) alkylation method. After alkylation, no chlorine was detectable on the surface by X-ray photoelectron spectroscopy (XPS), and the C 1s region showed a silicon-induced peak shift indicative of a Si-C bond. The relative intensity of this peak decreased, as expected, as the steric bulk of the alkyl increased. Despite the lack of full alkyl termination of the atop sites of the Si(100) surface, functionalization significantly reduced the rate of surface oxidation in air compared to that of the H-terminated Si(100) surface, with alkylated surfaces forming less than half a monolayer of oxide after over one month of exposure to air. Studies of the charge-carrier lifetime with rf photoconductivity decay methods indicated a surface recombination velocity of <30 cm s(-1) for methylated surfaces, and <60 cm s(-1) for Si surfaces functionalized with the other alkyl groups evaluated. Soft X-ray photoelectron spectroscopic data indicated that the H-Si(100) surfaces were terminated by SiH, SiH2, and SiH3 species, whereas Cl-Si(100) surfaces were predominantly terminated by monochloro (SiCl and SiHCl) and dichloro (SiCl2 and SiHCl2) Si species. Methylation produced signals consistent with termination by Si-alkyl bonding arising from SiH(CH3)-, SiH2(CH3)-, and Si(CH3)2-type species.  相似文献   

9.
应用电化学原位傅里叶变换红外反射光谱(in situ FTIRS)研究了酸性介质中Pt(110)单晶电极上吸附态CO(COad)和溶液相CO(COsol)的氧化过程.循环伏安测试表明,COsol氧化的峰电位比COad氧化的正移了168mV,其峰电流密度为后者的6.7倍.电化学原位红外光谱检测到CO主要生成线型的吸附态物种(COL),均匀分布在Pt(110)表面上.当溶液中不存在CO时,COL仅在电位高于0.15V才发生氧化.而且,该谱峰在其稳定吸附的电位区间内随电位增加蓝移,Stark系数为30cm-1·V-1;在COL发生氧化的电位区间,其谱峰强度随电位增加减小、峰位红移,线性变化率为-56cm-1·V-1.溶液中饱和CO时,原位红外光谱在-0.05V即可检测到CO2的存在,显示COL起始氧化的电位提前了200mV;电位高于-0.05V,该谱峰即发生红移,对应的线性变化率为-26.5cm-1·V-1.  相似文献   

10.
Crystalline Si(111) surfaces have been alkylated in a two-step chlorination/alkylation process using sterically bulky alkyl groups such as (CH3)2CH- (iso-propyl), (CH3)3C- (tert-butyl), and C6H5- (phenyl) moieties. X-ray photoelectron spectroscopic (XPS) data in the C 1s region of such surfaces exhibited a low energy emission at 283.9 binding eV, consistent with carbon bonded to Si. The C 1s XPS data indicated that the alkyls were present at lower coverages than methyl groups on CH(3)-terminated Si(111) surfaces. Despite the lower alkyl group coverage, no Cl was detected after alkylation. Functionalization with the bulky alkyl groups effectively inhibited the oxidation of Si(111) surfaces in air and produced low (<100 cm s(-1)) surface recombination velocities. Transmission infrared spectroscopy indicated that the surfaces were partially H-terminated after the functionalization reaction. Application of a reducing potential, -2.5 V vs Ag+/Ag, to Cl-terminated Si(111) electrodes in tetrahydrofuran resulted in the complete elimination of Cl, as measured by XPS. The data are consistent with a mechanism in which the reaction of alkyl Grignard reagents with the Cl-terminated Si(111) surfaces involves electron transfer from the Grignard reagent to the Si, loss of chloride to solution, and subsequent reaction between the resultant silicon radical and alkyl radical to form a silicon-carbon bond. Sites sterically hindered by neighboring alkyl groups abstract a H atom to produce Si-H bonds on the surface.  相似文献   

11.
用表面张力及电动势法研究了C10H21N(CH3)3Br、C12H25N(CH3)3Br与C3F7CH2OH混合水溶液的表面与胶团性质。结果表明,对于阳离子表面活性剂,C3F7CH2OH的加入一方面增加表面活性,另一方面降低胶团反离子结合度。后者不同于阴离子表面活性剂/C3F7CH2OH混合体系,可归因于C3F7CH2OH略有酸性,因而具备一些类似阴离子表面活性剂的性质。  相似文献   

12.
The room-temperature (RT) adsorption and thermal evolution of 1,1-dichloroethylene (1,1-C2H2Cl2 or iso-DCE) and monochloroethylene (C2H3Cl or MCE) on Si(111)7 x 7 have been studied by vibrational electron energy loss spectroscopy and thermal desorption spectrometry (TDS). The presence of the Si-Cl stretch at 510 cm(-1) suggests that upon adsorption iso-DCE dissociates via C-Cl bond breakage on the 7x7 surface to form mono-sigma-bonded 1-chlorovinyl (ClC=CH2) and/or di-sigma-bonded vinylidene (: C=CH(2)) adspecies. Upon annealing to 450 K, the 1-chlorovinyl adspecies undergoes further dechlorination to vinylidene adspecies, which may be converted to di-sigma-bonded vinylene (HC=CH) before dehydrogenating to hydrocarbon fragments above 580 K. TDS studies reveal both molecular desorption of iso-DCE near 350 K and C2H2 fragments near 700 K, and the presence of the latter confirms the existence of the di-sigma-bonded vinylene adspecies. Like the other chlorinated ethylene homologues, iso-DCE also exhibits TDS features of an etching product SiCl2 at 800-950 K and a dehydrochlorination product HCl at 700-900 K. Unlike iso-DCE, MCE is found to adsorb on the 7 x 7 surface predominantly through a [2 + 2] cycloaddition mechanism at RT, with similar di-sigma bonding structure as ethylene. The thermal evolution of MCE however follows that of iso-DCE, with the formation of vinylene above 580 K. Despite the lack of TDS feature attributable to HCl, weaker SiCl2 TDS feature could be observed at 800-950 K. For both iso-DCE and MCE, strong recombinative desorption of H2 is observed near 780 K. The differences in the Cl content among iso-DCE, MCE, and ethylene therefore play a key role in the RT chemisorption and thermally driven chemical processes on Si(111)7 x 7.  相似文献   

13.
Tripod-shaped trithiols 1-3, containing CH2SH groups at the three bridgehead positions of the adamantane framework and a halogen-containing group [Br (1), p-BrC6H4 (2), or p-IC6H4 (3)] at the fourth bridgehead, were synthesized, and self-assembled monolayers (SAMs) were prepared on atomically flat Au111 surfaces. The three-point chemisorption of these tripods was confirmed by polarization modulation infrared reflection absorption spectroscopy, which showed the absence of a S-H stretching band. Scanning tunneling microscopy of the SAM of 1 exhibited a hexagonal arrangement of the adsorbed molecule with a lattice constant of 8.7 angstroms. A unidirectionally oriented, head-to-tail array of 1, which allows the close approach of neighboring molecules, is proposed as a reasonable model of the two-dimensional crystal, where the adsorbed sulfur atoms form a quasi-(radical3 x radical3)R30 degrees lattice. The charge of the electrochemical reductive desorption of the SAM of 1 was in good agreement with the expected surface coverage, while the SAMs of 2 and 3 showed somewhat less (ca. 70%) charge. The large negative reduction peak potentials, observed for the SAM of 1, are taken to indicate a tight anchoring of this tripod by three sulfur atoms.  相似文献   

14.
The adsorption of 4-mercaptopyridine on Au(111) from aqueous or ethanolic solutions is studied by different surface characterization techniques and density functional theory calculations (DFT) including van der Waals interactions. X-ray photoelectron spectroscopy and electrochemical data indicate that self-assembly from 4-mercaptopyridine-containing aqueous 0.1 M NaOH solutions for short immersion times (few minutes) results in a 4-mercaptopyridine (PyS) self-assembled monolayer (SAM) with surface coverage 0.2. Scanning tunneling microscopy images show an island-covered Au surface. The increase in the immersion time from minutes to hours results in a complete SAM degradation yielding adsorbed sulfur and a heavily pitted Au surface. Adsorbed sulfur is also the main product when the self-assembly process is made in ethanolic solutions irrespective of the immersion time. We demonstrate for the first time that a surface reaction is involved in PyS SAM decomposition in ethanol, a surface process not favored in water. DFT calculations suggest that the surface reaction takes place via disulfide formation driven by the higher stability of the S-Au(111) system. Other reactions that contribute to sulfidization are also detected and discussed.  相似文献   

15.
We have succeeded in forming highly ordered chevron-shaped arrays of continuous copper nano-dot lines by electroless deposition on hydrogen-terminated Si(111) (H-Si(111)) surfaces. Detailed investigations have shown that tiny Cu clusters are preferentially formed at step edges when the electroless deposition is carried out in a deoxygenated neutral aqueous solution of a low Cu2+ concentration (less than 10 microM) with pH approximately = 7. This finding was combined with highly ordered step-edge lines on H-Si(111) prepared by the previously reported method of Teflon scratching and NH4F etching, which has led to the above success. The present result indicates that designed ordered metal nanowires can be produced by the electroless deposition method, using H-Si(111) surfaces with well-regulated step lines as a substrate.  相似文献   

16.
Kelvin probe force microscopy (KPFM) and atomic force microscopy (AFM) are employed to probe the surface potential and topography of octadecyltrichlorosilane [OTS, CH3(CH2)17SiCl3] self-assembled monolayers (SAMs) on oxidized Si(100) and polycrystalline silicon surfaces as a function of deposition temperature and substrate roughness with particular attention paid to the monitoring of SAM adsorption on highly rough surfaces. In these studies, it is found that the surface potential magnitude of the adsorbed layer is larger for monolayers formed in the liquid-condensed (LC) phase than for those formed in the liquid-expanded (LE) phase. Experiments on individual islands in the LC phase show that surface potential and monolayer thickness increase with increasing island size; islands larger than about 1.5 microm reach maximum potential and height values of 48+/-4 mV and 2.7+/-0.1 nm, with respect to the underlying oxidized surface. It is also shown that KPFM is suitable for the study of monolayer adsorption on polycrystalline surfaces, for which preexisting surface texture makes the use of traditional scanning probe techniques for molecular recognition difficult. In these scenarios it is shown that OTS growth occurs preferentially along grain boundaries in fingerlike patterns having a molecular arrangement comparable to that of LC phase islands on atomically smooth silicon. These findings indicate that surface potential measurements provide a highly accurate, local means of probing monolayer morphology on rough surfaces encountered in many applications.  相似文献   

17.
The adsorption of ferric and ferrous iron onto the native oxide of the SiO2/Si(111) surface has been evaluated using X‐ray photoelectron spectroscopy (XPS). Through a series of immersion experiments, performed at room temperature and pH 1, it has been shown that the ferric species is strongly adsorbed onto the hydrophilic surface, while ferrous iron remains in solution. Dehydroxylation of the silica surface by etching with hydrofluoric acid reduces the concentration of receptive Si‐OH groups, thereby limiting iron adsorption. The experiments were reproduced in a combined ultrahigh vacuum‐electrochemical system (UHV‐EC), which allowed a carbon‐free surface to be prepared before contacting the iron solutions, and confirmed the strong affinity of ferric iron towards the SiO2/Si(111) surface. Copyright © 2007 John Wiley & Sons, Ltd.  相似文献   

18.
Thermal and ion-induced reactions of 1,1-difluoroethylene (1,1-C2H2F2 or iso-DFE) on Si(111)7 x 7 and vitreous SiO2 surfaces have been investigated by vibrational electron energy loss spectroscopy and thermal desorption spectrometry. Like ethylene, iso-DFE predominantly chemisorbs via a [2 + 2] cycloaddition mechanism onto the 7 x 7 surface as a di-sigma-bonded difluoroethane-1,2-diyl adstructure, which undergoes H abstraction and defluorination, producing hydrocarbon fragments and SiF(x) (x = 1-3) upon annealing to >700 K. Ion irradiation of Si(111)7 x 7 in iso-DFE at 50 eV impact energy appears to substantially enhance the production of hydrocarbon fragments and SiF(x)(), leading to stronger SiF4 desorption products over an extended temperature range (400-900 K). The observed SiC and SiF(x) produced on the 7 x 7 surface by ion irradiation in iso-DFE are found to be similar to those obtained by ion irradiation in the fluoromethane homologues, CF4 and CH2F2. The production of higher relative concentrations for the larger SiF(x) and C2-containing fragments is evidently favored on the 7 x 7 surface. On a vitreous SiO2 surface, ion irradiation in iso-DFE, unlike that in CF4 and CH2F2, appears to produce less SiF(x) than that on the 7 x 7 surface, which indicates that surface O does not interact strongly with the C2-containing fragments. The presence or absence of a C=C bond and the relative F-to-C ratio of the sputtering gas could therefore produce important effects on the resulting surface products obtained by low-energy ion irradiation.  相似文献   

19.
The composition and structure of a binary mixed self-assembled monolayer (SAM) of 3-aminopropyltriethoxysilane (APS, NH(2)(CH(2))(3)Si(OCH(2)CH(3))(3)) and octadecyltrimethoxysilane (ODS, CH(3)(CH(2))(17)Si(OCH(3))(3)) on a silicon oxide surface have been characterized by water contact-angle measurements, X-ray photoelectron spectroscopy (XPS), atomic force microscopy (AFM), and sum frequency generation (SFG) vibrational spectroscopy. XPS demonstrated that APS in the mixed SAM is significantly enriched in comparison to that in solution, indicating the preferential adsorption of APS during the SAM formation. AFM observations showed that the mixed SAM becomes rougher. SFG revealed that the coadsorption of APS induced a conformation disordering in the ODS molecules present in the mixed SAM. The surface enrichment of APS has been explained in terms of differences in the surface adsorption rates of the two components as well as in the self-congregation states of APS molecules in the bulk solution. Furthermore, the structure of the water molecules on the mixed SAM surface in contact with the aqueous solutions at different pH's has also been studied. The results indicate that the mixed-SAM modified surface is positively charged at pH < 5 and negatively charged at pH > 7.  相似文献   

20.
The dynamics of adsorption and oxidation of CO on Ru(0001) electrode in sulfuric acid solution have been studied using in situ FTIR spectroscopy under potential control and at open circuit, the latter at 20 and 55 degrees C. The in situ IR data show clearly that the bisulfate anion adsorbs on the Ru(0001) surface over the potential range from -200 mV to 350 mV (vs. Ag/AgCl) at 20 degrees C in the absence and presence of adsorbed CO; however, increasing the temperature to 55 degrees C and/or increasing the concentration of dissolved O(2) reduces the bisulfate adsorption. The formation of surface (hydro-) oxide at higher potentials replaces the bisulfate adsorbates. Both linear (CO(L)) and three-fold hollow bonded CO (CO(H)) adsorbates were produced following CO adsorption at Ru(0001) in H(2)SO(4), as was observed in our previous studies in HClO(4). However, the amount of adsorbed CO observed in H(2)SO(4) was ca. 10% less than that in HClO(4); in addition, the CO(L) and CO(H) frequencies were higher in H(2)SO(4), and the onset potential for CO(ads) oxidation 25 mV lower. These new results are interpreted in terms of a model in which the adsorbed bisulfate weakens the CO adlayer, allowing the active Ru oxide layer to form at lower potentials. Significantly different results were observed at open circuit in H(2)SO(4) compared both to the data under potential control and to our earlier data in HClO(4), and these observations were rationalized in terms of the adsorbed HSO(4)(-) anions (pre-adsorbed at -200 mV) inhibiting the oxidation of the surface at open circuit (after stepping from the initial potential of -200 mV), as the latter was no longer driven by the imposed electrochemical potential but via chemical oxidation by trace dissolved O(2). Results from experiments at open circuit at 55 degrees C and using oxygen-saturated H(2)SO(4) supported this model. The difference in Ru surface chemistry between imposed electrochemical control and chemical control has potential implications with respect to fuel cell electrocatalysis.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号