首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
Atomic Force Microscope (AFM) has been applied to evaluate the surface roughness and the track sensitivity of CR-39 track detector. We experimentally confirmed the inverse correlation between the track sensitivity and the roughness of the detector surface after etching. The surface of CR-39 (CR-39 doped with antioxidant (HARZLAS (TD-1)) and copolymer of CR-39/NIPAAm (TNF-1)) with high sensitivity becomes rough by the etching, while the pure CR-39 (BARYOTRAK) with low sensitivity keeps its original surface clarity even for the long etching.  相似文献   

2.
Track etch rate characteristics of CR-39 plastic detector exposed to 28Si ions of 670 MeV energy have been investigated. Experimental results were obtained in terms of frequency distribution of the track diameter, track density and bulk etching rate. A dependence of the mean track diameter on energy was found. The application of the radiation effect of heavy ions on CR-39 in the field of radiation detection and dosimetry are discussed. Results indicated that it is possible to produce etchable tracks of 28Si in this energy range in CR-39. We also report the etching characteristics of these tracks in the CR-39 detector.  相似文献   

3.
A review was given for our recent studies on the latent tracks in CR-39 nuclear track detector. The radial size of track core has been determined through UV spectral measurements combined to the model of track overlapping and by AFM observations of slightly etched detectors. The track core radius was found to be about a few nano-meters and almost proportional to the cubic root of stopping power. As a control study, the etching properties of CR-39, irradiated by low-LET radiation, has been examined. The observed depth dependence and dose-rate dependence of the bulk etch rate of the irradiated CR-39 were explained that the damage formation process was governed by the reaction between the radiation induced radicals and the oxygen supplied from the air. This indicated that latent tracks in CR-39 are produced through local radiation induced oxidation process along the ion paths. Studies on vibration spectra, near-IR, FT-IR and Raman spectra, of CR-39 have also been carried out to estimate the chemical structure of the latent tracks. The creation of OH group in irradiated CR-39 has been confirmed.  相似文献   

4.
Recently, several new etchants have been reported for CR-39 detector (Molten Ba(OH)2. 8H2O as an etchant for CR-39 detector, Radiat. Meas. 37 (2003) 205; Discovery of new etchants for CR-39 detector, Radiat. Meas. (2004)). We have made further progress in this direction and have unveiled two more new etchants which are reported in this article. CR-39 detectors were irradiated with fission fragments and alpha particles from a thin 252Cf disc source. The irradiated detectors were then etched in our newly introduced etching solutions as well as in conventionally used 6 M NaOH aqueous solution at 70 degrees C. The newly prepared etching solutions included NaOH dissolved in methanol and NaOH dissolved in methanol + water. Optimum values of NaOH concentration in methanol as well as in methanol + water were determined. Optimum etching temperatures were also determined for both the above-mentioned etchants. From fission and alpha track diameters, bulk etching rate (VB), track etching rate (VT) and etching efficiency (eta) were determined and compared with that obtained for 6 M NaOH at 70 degrees C. Both the newly introduced etchants were found more efficient than the conventionally used 6 M aqueous NaOH (64%) at 70 degrees C and have relatively much smaller etching time.  相似文献   

5.
The results of an experimental work aimed at improving the performance of the CR-39 nuclear track detector for neutron dosimetry applications are reported. A set of CR-39 plastic detectors was exposed to 252Cf neutron source, which has the emission rate of 0.68 × 108 s−1, and neutron dose equivalent rate 1 m apart from the source is equal to 3.8 mrem/h. The detection of fast neutrons performed with CR-39 detector foils, subsequent chemical etching and evaluation of the etched tracks by an automatic track counting system was studied. It is found that the track density increases with the increase of neutron dose and etching time. The track density in the detector is directly proportional to the neutron fluence producing the recoil tracks, provided the track density is in the countable range. This fact plays an important role in determining the equivalent dose in the field of neutron dosimetry. These results are compared with previous work. It is found that our results are in good agreement with their investigations.   相似文献   

6.
Solid state nuclear track detectors are commonly used for measurements of concentrations of radon gas and/or radon progeny. All these measurements depend critically on the thickness of the removed layer during etching. However, the thickness of removed layer calculated using the etching period does not necessarily provide a sufficiently accurate measure of the thickness. For example, the bulk etch rate depends on the strength of stirring during etching for the LR 115 detector. We propose here to measure the thickness of the removed layer by using energy-dispersive X-ray fluorescence spectrometry. In the present work, a reference silver nitrate pellet is placed beneath the LR 115 detector, and the fluorescence X-ray intensity for silver is then measured. We have found a linear relationship between the X-ray intensity and the thickness of the removed layer for LR 115 detector. This provides a fast method to measure the thickness of removed layer from etching of LR 115 detector. However, this method was found to be inapplicable for the CR-39 detector. Therefore, alternative methods have yet to be explored for the CR-39 detector.  相似文献   

7.
It is well established that the bulk etch rates for solid state nuclear track detectors are affected by the concentration and the temperature of the etchant. Recently, we found that the bulk etch rate for the LR 115 detector to be affected by stirring during etching. In the present work, the effects of stirring on the bulk etch rate of the CR-39 detector is investigated. One set of sample was etched under continuous stirring by a magnetic stirrer at 70°C in a 6.25 N NaOH solution, while the other set of samples was etched without the magnetic stirrer. After etching, the bulk etch thickness was measured using Form Talysurf PGI (Taylor Hobson, Leicester, England). It was found that magnetic stirring did not affect the bulk etch of the CR-39 detector, which was in contrast to the results for the LR 115 detector.  相似文献   

8.
A technique based on the optical density (D) measurement of the etched track is useful for charged particles spectroscopy using SSNTD. It was shown that the stopping power of alpha particles in CR-39 is proportional to D. We measured the optical density and derived an expression to estimate the range of alpha particles in CR-39 detector as a function of the bulk etching rate and etching time. The relation between the etching time, track parameters (depth, radius) and D for different alpha particles energy and etching conditions were studied. A relation describing D as a function of track size is proposed.  相似文献   

9.
Several types of copolymers of CR-39 were prepared to find its usefulness as a nuclear track detector of high sensitivity. Track responses of these copolymers were investigated by irradiating energetic ions from proton through Ar. The copolymer of CR-39 monomer with N-isopropylacrylamide (NIPAAm) shows higher sensitivity than that of pure CR-39 for low LET particles such as protons. Preliminary results are reported for the track responses of copolymers (CR-39/NIPAAm) with various contents of NIPAAm as well as the etching properties.  相似文献   

10.
Samples of CR-39, PM-355, and PM-500 plastic detectors were irradiated with carbon ions of energy ranging from 0.9 MeV to 14.7 MeV. After the irradiation the detector samples were etched for a period from 2 hrs to 10 hrs. Dependence of track diameters on the ion energy values for different etching times, and dependence of VT/VB as a function of incident carbon-ion energy, are presented.  相似文献   

11.
After reporting the excellent etching properties of molten Ba(OH)2 8H2O as an etchant, we now report some more new and efficient etchants for CR-39 detector. CR-39 detectors were irradiated with fission fragments and alpha particles with a thin 252Cf source. The irradiated detectors were etched in a number of our newly introduced etching solutions as well as in conventionally used 6 M NaOH at 70 degrees C. The newly prepared etching solutions included NaOH/ethanol and NaOH/1-propanol. Processing conditions were optimized for these etchants. From fission and alpha track diameters, bulk etching velocity (VB), track etching velocity (VT), etching efficiency (eta) and their activation energies were determined and compared with that obtained for 6M NaOH at 70 degrees C.  相似文献   

12.
Systematic measurements of swelling of CR-39 nuclear track detector (NTD) due to irradiation with fission fragments and alpha particles over a wide range of fluences from 252Cf are presented here. Precisely designed and optimized exposure and chemical etching experiments were employed to unfold the structure of radiation induced surface damage. Delays in the startup of the chemical etching of latent tracks in low radiation fluence detectors are measured and are found to contain important information about structure of the surface damage. Simple atomic scale pictures of radiation induced surface damage and its chemical etching are developed using measurements of radiation induced swelling of CR-39 detectors and nuclear track parameters. The computer code SRIM2010 was utilized for the calculations of basic features of latent tracks of fission fragments and alpha particles in CR-39. Another computer code TRACK_VISION was used to compute parameters of etched tracks. Computations and experimental findings in the paper coherently compose a realistic picture of radiation damage.  相似文献   

13.
The application of CR-39 as a fast neutron dosimeter, based on counting elastic recoil nuclei tracks of H, C and O nuclei in a geometrically matched pair of detectors, was studied. A computer simulation of the processes during the exposure of a detector pair to neutrons is presented. The energy and spatial distribution of the recoil nuclei was calculated with the MCNP 4B program package. On the basis of this distribution, a Monte-Carlo procedure for the generation of latent tracks in the CR-39 detectors was run. Additionally, a procedure for calculation of the chemical track etching for revealing the visible track parameters was performed to obtain the detector response.  相似文献   

14.
CR-39 Solid State Nuclear Track Detecting foils (SSNTD), along with 1 mm thick polyethylene radiator, sealed in triple laminated pouches, are used for country wide Fast Neutron Personnel Monitoring in India. With the present system of processing by elevated temperature electrochemical etching (ETECE) and evaluation using automatic image analysis, only 16 foils are processed at a time and it is useful over the dose equivalent range 0.2 mSv to 10 mSv. It has been reported that, by processing CR-39 of good detection efficiency by chemical etching at elevated temperature, more numbers of foils can be processed simultaneously. In the present study, CR-39 foils from Pershore Moulding (UK) have been chemically etched using 7 N KOH under various conditions of temperature and etching durations and evaluated using high magnification microscope. The duration of chemical etching, has been optimized at a constant temperature of 60°C for chemical etching process. The characteristics of the chemically etched CR-39 foils are compared with the characteristics of the CR-39 foils processed by the existing system of ETECE and the detailed results are presented in the full text of the paper. It has been observed that by chemical etching process, the dose equivalent range of CR-39 foils can be extended above 60 mSv.   相似文献   

15.
CR-39 polymer samples were irradiated with 50 MeV lithium ion beam; the fluence was varied in the range 1011–1013 ionscm−2. Irradiation effects were studied using UV–visible, FTIR spectroscopic and X-ray diffraction techniques. The observation of the recorded spectra shows that the detector is sensitive to swift ions irradiation and its UV absorption is influenced by the stopping power (dE/dx)e. The FTIR spectra does not show any considerable changes due to the irradiation indicating that the detector is chemically stable. No appreciable change in the diffraction pattern of CR-39 polymer after irradiation upto the fluence level of 1013 ionscm−2 is observed, showing its structural stability also.  相似文献   

16.
The ion beam of a Mather-type 23.25 J plasma focus device operated with air filling at 10 Torr was registered using CR-39 nuclear track detector. The irradiated samples were etched in NaOH solution at 70°C for 1 h. It is found here that plasm a beam contains multi-components of microbeams. The individual track density of microbeams is estimated and the total current density of the plasma stream is measured to be 1.2 mA/cm2. A model for counting the track density of individual microbeams is proposed here. Faraday cup measurements showed the ion pulse with energy ranging from 5.8 keV to 3.3 keV.   相似文献   

17.
A solid state nuclear track detector, CR-39, was exposed to DT neutrons. After etching, the resultant tracks were analyzed using both an optical microscope and a scanning electron microscope (SEM). In this communication, both methods of analyzing DT neutron tracks are discussed.  相似文献   

18.
The new method which has been proposed by Groetz et al., for reading the SSNTDs by He–Ne laser SCATTERING was applied, in this work, on CR-39 and CN-85 detectors irradiated with neutrons and alpha particles. The intensity of the transmitted laser light through the etched SSNTD was measured using a photodiode. The method appeared as a good technique for dose reading but it is extremely dependent on the etching time, the type of incident particles and the type of the detector. In general, the response of CN-85 was found to be faster and better than CR-39.  相似文献   

19.
CR-39 is a highly sensitive etched track detector for neutron monitoring and dosimetry applications but its dose equivalent response is strongly direction dependent with respect to the incident neutrons. This is considered to be a major drawback for their use. In the present study, an attempt has been made to develop a pyramid shaped dosimeter, which consists of polyethylene material of thickness 1 mm with the provision to hold three CR-39 films at an angle of 35° to each other. The response of CR-39 in this configuration under optimum electrochemical etching at elevated temperature have been found nearly angular independent and therefore the dosimeter can be used for neutron monitoring, i.e. personnel as well as area monitoring.  相似文献   

20.
Two subjects have been studied for establishing an efficient detection technique applicable to neutrons with an energy higher than 20 MeV, for which personnel dosimetry becomes more important especially in space activities and radiation protection around high-energy accelerators.

A performance of a new sensitive detector, recently developed copolymer of CR-39 and N-isopropylacrylamide, was checked in a D–T neutron field. It was confirmed experimentally that its sensitivity was several times as high as a pure CR-39 in the radiator effect and more than twice in the total efficiency. In the other experiment, CR-39 samples were exposed to 65 MeV quasi-monoenergetic neutrons, and the registration characteristics have been investigated from a fundamental point of view. The particles recorded were divided into three groups of proton relatives, -particles and heavy ions by applying the growth curve method and the multi-step etching technique. The measured fractions were in good agreement with the values calculated by SSNERS code previously developed.  相似文献   


设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号