首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 78 毫秒
1.
Scanning tunneling microscopy (STM) and computational modeling have been used to study the structure of ethyl-terminated Si(111) surfaces. The ethyl-terminated surface was prepared by treating the H-terminated Si(111) surface with PCl5 to form a Cl-terminated Si(111) surface with subsequent exposure to C(2)H(5)MgCl in tetrahydrofuran to produce an alkylated Si(111) surface. The STM data at 77 K revealed local, close-packed, and relatively ordered regions with a nearest-neighbor spacing of 0.38 nm as well as disordered regions. The average spot density corresponded to approximately 85% of the density of Si atop sites on an unreconstructed Si(111) surface. Molecular dynamics simulations of a Si(111) surface randomly populated with ethyl groups to a total coverage of approximately 80% confirmed that the ethyl-terminated Si(111) surface, in theory, can assume reasonable packing arrangements to accommodate such a high surface coverage, which could be produced by an exoergic surface functionalization route such as the two-step chlorination/alkylation process. Hence, it is possible to consistently interpret the STM data within a model suggested by recent X-ray photoelectron spectroscopic data and infrared absorption data, which indicate that the two-step halogenation/alkylation method can provide a relatively high coverage of ethyl groups on Si(111) surfaces.  相似文献   

2.
Hydrogen-terminated, chlorine-terminated, and alkyl-terminated crystalline Si(111) surfaces have been characterized using high-resolution, soft X-ray photoelectron spectroscopy from a synchrotron radiation source. The H-terminated Si(111) surface displayed a Si 2p(3/2) peak at a binding energy 0.15 eV higher than the bulk Si 2p(3/2) peak. The integrated area of this shifted peak corresponded to one equivalent monolayer, consistent with the assignment of this peak to surficial Si-H moieties. Chlorinated Si surfaces prepared by exposure of H-terminated Si to PCl5 in chlorobenzene exhibited a Si 2p(3/2) peak at a binding energy of 0.83 eV above the bulk Si peak. This higher-binding-energy peak was assigned to Si-Cl species and had an integrated area corresponding to 0.99 of an equivalent monolayer on the Si(111) surface. Little dichloride and no trichloride Si 2p signals were detected on these surfaces. Silicon(111) surfaces alkylated with CnH(2n+1)- (n = 1 or 2) or C6H5CH2- groups were prepared by exposing the Cl-terminated Si surface to an alkylmagnesium halide reagent. Methyl-terminated Si(111) surfaces prepared in this fashion exhibited a Si 2p(3/2) signal at a binding energy of 0.34 eV above the bulk Si 2p(3/2) peak, with an area corresponding to 0.85 of a Si(111) monolayer. Ethyl- and C6H5CH2-terminated Si(111) surfaces showed no evidence of either residual Cl or oxidized Si and exhibited a Si 2p(3/2) peak approximately 0.20 eV higher in energy than the bulk Si 2p(3/2) peak. This feature had an integrated area of approximately 1 monolayer. This positively shifted Si 2p(3/2) peak is consistent with the presence of Si-C and Si-H surface functionalities on such surfaces. The SXPS data indicate that functionalization by the two-step chlorination/alkylation process proceeds cleanly to produce oxide-free Si surfaces terminated with the chosen alkyl group.  相似文献   

3.
The surface structure, strain energy, and charge profile of the methoxylated Si(111) surface, Si(111)-OCH3, has been studied using quantum mechanics, and the results are compared to those obtained previously for Si(111)-CH3 and Si(111)-C2H5. The calculations indicate that 100% coverage is feasible for Si(111)-OCH3 (similar to the methylated surface), as compared to only approximately 80% coverage for the ethylated surface. These differences can be understood in terms of nearest-neighbor steric and electrostatic interactions. Enthalpy and free energy calculations indicate that the formation of the Si(111)-OCH3 surface from Si(111)-H and methanol is favorable at 300 K. The calculations have also indicated the conditions under which stacking faults can emerge on Si(111)-OCH3, and such conditions are contrasted with the behavior of Si(111)-CH3 and Si(111)-CH2CH3 surfaces, for which stacking faults are calculated to be energetically feasible when etch pits with sufficiently long edges are present on the surface.  相似文献   

4.
In this paper, the adsorption of Ag^+ and hydrated Ag^+ cations on clean Si(111) surface were investigated by using cluster (Gaussian 03) and periodic (DMol^3) ab initio calculations. Si(111) surface was described with cluster models (Si14H17 and Si22H21) and a four-silicon layer slab with periodic boundary conditions. The effect of basis set superposition error (BSSE) was taken into account by applying the counterpoise correction. The calculated results indicated that the binding energies between hydrated Ag^+ cations and clean Si(111) surface are large, suggesting a strong interaction between hydrated Ag^+ cations and the semiconductor surface. With the increase of number, water molecules form hydrogen bond network with one another and only one water molecule binds directly to the Ag^+ cation. The Ag^+ cation in aqueous solution will safely attach to the clean Si(111) surface.  相似文献   

5.
Recently, we reported STM images of the methylated Si(111) surface [prepared through chlorination-alkylation of the Si(111)-H surface] taken at 4.7 K, indicating that the torsion angle of the methyl group with respect to the subsurface silicon layer is phi = 23 +/- 3 degrees . Repulsions between H atoms in adjacent methyl groups are minimized at 30 degrees , while repulsions between H atoms and second layer Si atoms are minimized at 60 degrees . The experimental result of 23 degrees is surprising because it suggests a tendency of the methyl group toward the eclipsed configuration (0 degrees ) rather than staggered (60 degrees ). In contrast, extensive fully periodic quantum mechanical Density Functional Theory studies of this surface give an equilibrium torsion angle of 37.5 degrees , indicating a tendency toward the staggered configuration. This discrepancy can be resolved by showing that the CH3 on the step edges and etch pits interacts repulsively with the CH3 on the surface terraces unless a stacking fault is introduced between the first and second silicon layers of the Si(111)-CH3 surface terraces. We propose that this could occur during the chlorination-alkylation of the Si(111)-H surface. This stacking fault model predicted phi = 22.5 degrees measured with respect to the bulk (corresponding to phi = 37.5 degrees with respect to the second layer Si atoms). This model can be tested by measuring the orientation of the CH3 within the etch pits, which should have phi = 37.5 degrees , or by making a surface without etch pits, which should have phi = 37.5 degrees .  相似文献   

6.
Si(111) surfaces have been functionalized with Si-CC-R species, where R = H or -CH3, using a two-step reaction sequence involving chlorination of H-Si(111) followed by treatment with Na-CC-H or CH3-CC-Na reagents. The resulting surfaces showed no detectable oxidation as evidenced by X-ray photoelectron spectroscopic (XPS) data in the Si 2p region, electrochemical measurements of Si-H oxidation, or infrared spectroscopy. The Si-CC-R-terminated surfaces exhibited a characteristic CC stretch in the infrared at 2179 cm-1, which was strongly polarized perpendicular to the Si(111) surface plane. XPS measurements in the C 1s region showed a low binding energy peak indicative of Si-C bonding, with a coverage that was, within experimental error, identical to that of the CH3-terminated Si(111) surface, which has been shown to fully terminate the Si atop sites on an unreconstructed Si(111) surface. The Si-CC-H-terminated surfaces were further functionalized by exposure to n-C4H9Li followed by exposure to para Br-C6H5-CF3, allowing for introduction of para -C6H5CF3 groups while maintaining the desirable chemical and electrical properties that accompany complete Si-C termination of the atop sites on the Si(111) surface.  相似文献   

7.
Electron correlation effects associated with the dangling bond surface states of Si(111)-5×5, Si(111)-7×7 and Sn/Ge(111)-3×3 are analyzed. In all the cases, extensive LDA-calculations are performed and effective two-dimensional Hamiltonians are deduced. Our analysis of these Hamiltonians shows that: (a) the Si(111)-5×5 surface states exhibits a metal-insulator transition; (b) the Si(111)-7×7 surface shows important similarities with the Si(111)-5×5 case, but it has a dangling bond surface band having a metallic character; (c) finally, the Sn/Ge(111)-3×3 dangling bond surface bands also shows important correlation effects that are found, however, not to affect the metallic character of the surface bands.  相似文献   

8.
Crystalline Si(111) surfaces have been alkylated in a two-step chlorination/alkylation process using sterically bulky alkyl groups such as (CH3)2CH- (iso-propyl), (CH3)3C- (tert-butyl), and C6H5- (phenyl) moieties. X-ray photoelectron spectroscopic (XPS) data in the C 1s region of such surfaces exhibited a low energy emission at 283.9 binding eV, consistent with carbon bonded to Si. The C 1s XPS data indicated that the alkyls were present at lower coverages than methyl groups on CH(3)-terminated Si(111) surfaces. Despite the lower alkyl group coverage, no Cl was detected after alkylation. Functionalization with the bulky alkyl groups effectively inhibited the oxidation of Si(111) surfaces in air and produced low (<100 cm s(-1)) surface recombination velocities. Transmission infrared spectroscopy indicated that the surfaces were partially H-terminated after the functionalization reaction. Application of a reducing potential, -2.5 V vs Ag+/Ag, to Cl-terminated Si(111) electrodes in tetrahydrofuran resulted in the complete elimination of Cl, as measured by XPS. The data are consistent with a mechanism in which the reaction of alkyl Grignard reagents with the Cl-terminated Si(111) surfaces involves electron transfer from the Grignard reagent to the Si, loss of chloride to solution, and subsequent reaction between the resultant silicon radical and alkyl radical to form a silicon-carbon bond. Sites sterically hindered by neighboring alkyl groups abstract a H atom to produce Si-H bonds on the surface.  相似文献   

9.
Transmission infrared spectroscopy (TIRS) has been used to investigate the surface-bound species formed in the two-step chlorination/alkylation reaction of crystalline (111)-oriented Si surfaces. Spectra were obtained after hydrogen termination, chlorine termination, and reaction of the Cl-Si(111) surface with CH(3)MgX or C(2)H(5)MgX (X = Cl, Br) to form methyl (CH(3))- or ethyl (C(2)H(5))-terminated Si(111) surfaces, respectively. Freshly etched H-terminated Si(111) surfaces that were subsequently chlorinated by immersion in a saturated solution of PCl(5) in chlorobenzene were characterized by complete loss of the Si-H stretching and bending modes at 2083 and 627 cm(-1)(,) respectively, and the appearance of Si-Cl modes at 583 and 528 cm(-1). TIRS of the CH(3)-terminated Si(111) surface exhibited a peak at 1257 cm(-1) polarized perpendicular to the surface assigned to the C-H symmetrical bending, or "umbrella" motion, of the methyl group. A peak observed at 757 cm(-1) polarized parallel to the surface was assigned to the C-H rocking motion. Alkyl C-H stretch modes on both the CH(3)- and C(2)H(5)-terminated surfaces were observed near 2900 cm(-1). The C(2)H(5)-terminated Si(111) surface additionally exhibited broad bands at 2068 and 2080 cm(-1), respectively, polarized perpendicular to the surface, as well as peaks at 620 and 627 cm(-1), respectively, polarized parallel to the surface. These modes were assigned to the Si-H stretching and bending motions, respectively, resulting from H-termination of surface atoms that did not form Si-C bonds during the ethylation reaction.  相似文献   

10.
Polymer brushes were prepared by using the reversible addition fragmentation chain transfer (RAFT) technique. The silicon substrates (Si (111) surface) were modified with ethyl xanthate groups which were introduced by the treatment of Si (111) surface with sodium ethyl xanthate. The polymer brushes were then prepared under RAFT conditions from the Si (111) wafer. Its “living” characteristics were determined by a series of characterizations including gel permeation chromatography (GPC), ellipsometry, and contact angle measurements. The results showed a well‐defined graft layer consisting of polymer brushes with low‐polydispersity could be prepared directly on Si (111)‐X surface (where X represents an ethyl xanthate groups). The structure of the polymer brushes was characterized and confirmed with the surface sensitive techniques such as X‐ray photoelectron spectroscopy (XPS) and scanning probe microscopy (SPM). Copyright © 2007 John Wiley & Sons, Ltd.  相似文献   

11.
Electrochemically active self-assembled monolayers (SAM) have been successfully fabricated with atomic-scale uniformity on a silicon (Si)(111) surface by immobilizing vinylferrocene (VFC) molecules through Si-C covalent bonds. The reaction of VFC with the hydrogen-terminated Si (H-Si)(111) surface was photochemically promoted by irradiation of visible light on a H-Si(111) substrate immersed in n-decane solution of VFC. We found that aggregation and polymerization of VFC was avoided when n-decane was used as a solvent. Voltammetric quantification revealed that the surface density of ferrocenyl groups was 1.4×10(-10) mol cm(-2), i.e., 11% in substitution rate of Si-H bond. VFC-SAMs were then formed by the optimized preparation method on n-type and p-type Si wafers. VFC-SAM on n-type Si showed positive photo-responsivity, while VFC-SAM on p-type Si showed negative photo-responsivity.  相似文献   

12.
High-resolution soft X-ray photoelectron spectroscopy was used to investigate the oxidation of alkylated silicon(111) surfaces under ambient conditions. Silicon(111) surfaces were functionalized through a two-step route involving radical chlorination of the H-terminated surface followed by alkylation with alkylmagnesium halide reagents. After 24 h in air, surface species representing Si(+), Si(2+), Si(3+), and Si(4+) were detected on the Cl-terminated surface, with the highest oxidation state (Si(4+)) oxide signal appearing at +3.79 eV higher in energy than the bulk Si 2p(3/2) peak. The growth of silicon oxide was accompanied by a reduction in the surface-bound Cl signal. After 48 h of exposure to air, the Cl-terminated Si(111) surface exhibited 3.63 equivalent monoleyers (ML) of silicon oxides. In contrast, after exposure to air for 48 h, CH(3)-, C(2)H(5)-, or C(6)H(5)CH(2)-terminated Si surfaces displayed <0.4 ML of surface oxide, and in most cases only displayed approximately 0.20 ML of oxide. This oxide was principally composed of Si(+) and Si(3+) species with peaks centered at +0.8 and +3.2 eV above the bulk Si 2p(3/2) peak, respectively. The silicon 2p SXPS peaks that have previously been assigned to surface Si-C bonds did not change significantly, either in binding energy or in relative intensity, during such air exposure. Use of a high miscut-angle surface (7 degrees vs < or =0.5 degrees off of the (111) surface orientation) yielded no increase in the rate of oxidation nor change in binding energy of the resultant oxide that formed on the alkylated Si surfaces. Scanning Auger microscopy indicated that the alkylated surfaces formed oxide in isolated, inhomogeneous patches on the surface.  相似文献   

13.
An ideally (1x1)-CH(3)(methyl)-terminated Si(111) surface was composed by Grignard reaction of photochlorinated Si(111) and the surface structure was for the first time confirmed by Auger electron spectroscopy, low energy electron diffraction, high-resolution electron energy loss spectroscopy (HREELS), scanning tunneling microscopy (STM), and scanning tunneling spectroscopy (STS). HREELS revealed the vibration modes associated to the CH(3)-group as well as the C-Si bond. STM discerned an adlattice with (1x1) periodicity on Si(111) composed of protrusions with internal features, covering all surface terraces. The surface structure was confirmed to be stable at temperatures below 600 K. STS showed that an occupied-state band exists at gap voltage of -1.57 eV, generated by the surface CH(3) adlattice. This CH(3):Si(111)-(1x1) adlayer with high stability and unique electronic property is prospective for applications such as nanoscale lithography and advanced electrochemistry.  相似文献   

14.
The structure of an octadecyl monolayer formed on a hydrogen-terminated Si(111) surface in neat octadecene was studied by infrared-visible sum frequency generation (SFG) spectroscopy. The SFG spectra in the CH vibration region were dominated by peaks corresponding to those of the methyl group, confirming that the monolayer is essentially in the all-trans conformation. The shapes of the spectra were strongly dependent on the azimuthal angle, and the strength of the asymmetric vibration mode obtained from the theoretical fitting shows threefold symmetry with respect to the azimuthal angle, suggesting the epitaxial arrangement of the monolayer with the Si(111) substrate. The orientation angle of the methyl group estimated from SFG anisotropy was in good agreement with the theoretical prediction.  相似文献   

15.
Fast Li transport in battery electrodes is essential to meeting the demanding requirements for a high-rate capability anode. We studied the intercalation of a Li atom into the surface and subsurface layers of Si(100) and Si(111) using density functional calculations with a slab representation of the surfaces. We suggest that the Li atom migrates on the Si surfaces and is subsequently inserted into the inside for both Si(100) and Si(111). The rate-determining steps are the surface incorporation and subsurface diffusion in Si(100) and Si(111), respectively. Our diffusion rate calculations reveal that, once the Li atom is incorporated into the Si surface, Li diffuses faster by at least two orders of magnitude along the <100> direction than along the <111> direction. The importance of careful treatment of the slab thickness for the study of impurity insertion into subsurface layers is also stressed.  相似文献   

16.
Chemical force titrations-plots of the adhesive force between an atomic force microscope tip and sample as a function of pH-were acquired on alkyl monolayer-derivatized Si(111) surfaces. Gold-coated AFM tips modified with thioalkanoic acid self-assembled monolayers (SAM) were employed. Alkyl monolayer-derivatized Si(111) surfaces terminated with methyl, carboxyl, and amine groups were produced via hydrosilylation reactions between 1-alkene reagents and H-terminated silicon. The functionalized surfaces were characterized using standard surface science techniques (AFM, FTIR, and XPS). Titration of the methyl-terminated surface using the modified (carboxyl-terminated) atomic force microscope tip resulted in a small pH-independent hydrophobic interaction. Titration of the amine-terminated surface using the same tip resulted in the determination of a surface pKa of 5.8 for the amine from the pH value from the maximum in the force titration curve. A pK(1/2) of 4.3 was determined for the carboxyl-terminated Si(111) in a similar way. These results will be discussed in relation to the modified Si(111) surface chemistry and organic layer structure, as well as with respect to existing results on Au surfaces modified with SAMs bearing the same functional groups.  相似文献   

17.
Interfaces between phenylacetylene (PA) monolayers and two silicon surfaces, Si(111) and Si(100), are probed by X-ray photoelectron spectroscopy (XPS), ultraviolet photoelectron spectroscopy (UPS), and near-edge X-ray absorption fine structure (NEXAFS) spectroscopy, and the results are analyzed using ab initio molecular orbital calculations. The monolayer systems are prepared via the surface hydrosilylation reaction between PA and hydrogen-terminated silicon surfaces. The following spectral features are obtained for both of the PA-Si(111) and PA-Si(100) systems: a broad π-π* shakeup peak at 292 eV (XPS), a broad first ionization peak at 3.8 eV (UPS), and a low-energy C 1s → π* resonance peak at 284.3 eV (NEXAFS). These findings are ascribed to a styrene-like π-conjugated molecular structure at the PA-Si interface by comparing the experimental data with theoretical analysis results. A conclusion is drawn that the vinyl group can keep its π-conjugation character on the hydrogen-terminated Si(100) [H:Si(100)] surface composed of the dihydride (SiH(2)) groups as well as on hydrogen-terminated Si(111) having the monohydride (SiH) group. The formation mechanism of the PA-Si(100) interface is investigated within cluster ab initio calculations, and the possible structure of the H:Si(100) surface is discussed based on available data.  相似文献   

18.
Low-temperature scanning tunneling microscopy (STM) has been used to image CH(3)-terminated Si(111) surfaces that were prepared through a chlorination/alkylation procedure. The STM data revealed a well-ordered structure commensurate with the atop sites of an unreconstructed 1 x 1 overlayer on the silicon (111) surface. Images collected at 4.7 K revealed bright spots, separated by 0.18 +/- 0.01 nm, which are assigned to adjacent H atoms on the same methyl group. The C-H bonds in each methyl group were observed to be rotated by 7 +/- 3 degrees away from the center of an adjacent methyl group and toward an underlying Si atom. Hence, the predominant interaction that determines the surface structure arises from repulsions between hydrogen atoms on neighboring methyl groups, and secondary interactions unique to the surface are also evident.  相似文献   

19.
The structure of twelve-carbon monolayers on the H-terminated Si(111) surface is investigated by molecular simulation method. The best substitution percent on Si(111) surface obtained via molecular mechanics calculation is equal to 50%, and the (8 ε 8) simulated cell can be used to depict the structure of alkyl monolayer on Si surface. After two-dimensional cell containing alkyl chains and four-layer Si(111) crystal at the substitution 50% is constructed, the densely packed and well-ordered monolayer on Si(111) surface can be shown through energy minimization in the suitable-size simulation cell. These simulation results are in good agreement with the experiments. These conclusions show that molecular simulation can provide otherwise inaccessible mesoscopic information at the molecular level, and can be considered as an adjunct to experiments.  相似文献   

20.
The structure of self-assembled monolayers (SAMs) of undecylenic acid methyl ester (SAM-1) and undec-10-enoic acid 2-bromo-ethyl ester (SAM-2) grown on hydrogen-passivated Si(111) were studied by X-ray reflectivity (XRR), X-ray standing waves (XSW), X-ray fluorescence (XRF), atomic force microscopy, and X-ray photoelectron spectroscopy (XPS). The two different SAMs were grown by immersion of H-Si(111) substrates into the two different concentrated esters. UV irradiation during immersion was used to create Si dangling bond sites that act as initiators of the surface free-radical addition process that leads to film growth. The XRR structural analysis reveals that the molecules of SAM-1 and SAM-2 respectively have area densities corresponding to 50% and 57% of the density of Si(111) surface dangling bonds and produce films with less than 4 angstroms root-mean-square roughness that have layer thicknesses of 12.2 and 13.2 angstroms. Considering the molecular lengths, these thicknesses correspond to a 38 degrees and 23 degrees tilt angle for the respective molecules. For SAM-2/Si(111) samples, XRF analysis reveals a 0.58 monolayer (ML) Br total coverage. Single-crystal Bragg diffraction XSW analysis reveals (unexpectedly) that 0.48 ML of these Br atoms are at a Si(111) lattice position height that is identical to the T1 site that was previously found by XSW analysis for Br adsorbed onto Si(111) from a methanol solution and from ultrahigh vacuum. From the combined XPS, XRR, XRF, and XSW evidence, it is concluded that Br abstraction by reactive surface dangling bonds competes with olefin addition to the surface.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号