首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
Quantum dots (QDs) grown on semiconductors surfaces are actually the main researchers' interest for applications in the forthcoming nanotechnology era. New frontiers in nanodevice technology rely on the precise positioning of the nucleation site and on controlling the shape and size of the dots. In this article we will review some recent studies regarding the control of the nucleation process on semiconductor surfaces. A few approaches to form ordered patterns on surfaces are described: natural patterning induced by surface instabilities (as step bunching or step meandering), in situ substrate patterning by Scanning Tunneling Microscopy (STM), high resolution patterning by Focused Ion Beam (FIB). Growth of epitaxial layers of semiconductors (Ge/Si(100) and InAs/GaAs(100)) on patterned surfaces has been studied by STM or Atomic Force Microscopy (AFM) unveiling the way in which the first atoms start to aggregate and identifying their exact nucleation site. Control of the dot size to match the patterning typical wavelength has been achieved by using surfactants on misoriented substrates. STM images acquired in real time allows one to identify the mechanism of Ge cluster formation on patterned Si(100), and to follow the island transition from pre-pyramid to pyramid. Nucleation of ordered Ge dots on SiO2 substrates has been obtained thanks to FIB tight patterning, achieving island densities of 3.5×1010/cm2. To cite this article: N. Motta et al., C. R. Physique 7 (2006).  相似文献   

2.
Experimental studies on patterning hexagonal Ge nanostructures have been conducted on Si substrates through deposition of Ge with colloidal particles as a mask. The deposited Ge thin film possesses, according to the X-ray diffraction measurements, in plane texture, being epitaxial and aligned with the (111) Si substrate. The size distribution of the patterned Ge nanostructures is narrow, as indicated by the atomic force microscopy and scanning electron microscopy measurements. We have obtained Ge nanostructures with lateral dimension of 490 nm (height 12 nm), 200 nm (height 6 nm) and 82 nm (height 6 nm) by using different sizes of polystyrene spheres. We have performed in depth studies of the Ge nanostructures’ behavior due to thermal and rapid thermal post-annealing processes. FT micro-Raman spectroscopy shows that there is no Si intermixing during the annealing process. In order to quantify the changes in the height and lateral dimension, we have performed atomic force microscopy and white light interferometry analysis. The changes in shape and the decrease in the area of a cross-section of Ge nanostructure will be discussed in respect to similar results shown in the literature for Ge thin films during the annealing process.  相似文献   

3.
We demonstrate a kinetically-driven patterning scheme to selectively position arrays of Ge or Si nanoparticles within lithographically defined HfO2 windows. The surface reactions enabling patterning are revealed through temperature programmed desorption experiments and selectivity of the deposition is verified by X-ray photoelectron spectroscopy and scanning electron microscopy. Patterning is possible by exploiting the different reactivity of Ge and Si on HfO2 and SiO2 surfaces and employing a sacrificial SiO2 mask on which adatoms etch the SiO2 surface and do not accumulate to form nanocrystals.  相似文献   

4.
Formation and ordering of Ge nanocrystals (NC) are studied on Si(0 0 1) and SiO2/Si(0 0 1) substrates patterned by focused ion beam (FIB). In both cases we use a three step process consisting of FIB milling of hole patterns with various periodicities, ex-situ substrate cleaning to remove Ga contamination and Ge NC growth by molecular beam epitaxy (MBE). We show that Ge NC can be ordered between or inside the holes on patterned Si(0 0 1) substrates and inside the holes on patterned SiO2/Si(0 0 1) substrates.  相似文献   

5.
Low-temperature (<300 °C) molecular beam epitaxy of Fe3Si/Ge was investigated. By optimizing growth conditions, Fe3Si layers with a flat interface and good crystallinity were epitaxially grown on Ge(1 1 1) substrates. In addition, double heteroepitaxial growth of Fe3Si/Ge on high quality Fe3Si/Ge substrates was investigated. Reflective high-energy electron diffraction measurements suggested Fe3Si and Ge layers were epitaxially grown on Fe3Si/Ge substrates. However, transmission electron microscopy measurements indicated stacking faults formed in the intermediate Ge and top Fe3Si layers. Improved crystallinity of the intermediate Ge layer is essential to realize high quality [Fe3Si/Ge]2 multi-layered structures.  相似文献   

6.
A simple and reliable method has been developed for synthesizing finely patterned tin dioxide (SnO2) nanostructure arrays on silicon substrates. A patterned Au catalyst film was prepared on the silicon wafer by radio frequency (RF) magnetron sputtering and photolithographic patterning processes. The patterned SnO2 nanostructures arrays, a unit area is of ∼500 μm × 200 μm, were synthesized via vapor phase transport method. The surface morphology and composition of the as-synthesized SnO2 nanostructures were characterized by means of scanning electron microscopy (SEM) and X-ray diffraction (XRD). The mechanism of formation of SnO2 nanostructures was also discussed. The measurement of field emission (FE) revealed that the as-synthesized SnO2 nanorods, nanowires and nanoparticles arrays have a lower turn-on field of 2.6, 3.2 and 3.9 V/μm, respectively, at the current density of 0.1 μA/cm2. This approach must have a wide variety of applications such as fabrications of micro-optical components and micropatterned oxide thin films used in FE-based flat panel displays, sensor arrays and so on.  相似文献   

7.
Templated self-organization has been used to prepare two-dimensional arrays as well as three-dimensional quantum dot crystals (QDC) containing Ge dots in a Si host crystal. Si(1 0 0) substrates have been patterned with two-dimensional hole gratings using extreme ultra-violet interference lithography (EUV-IL) and reactive ion etching. The EUV-IL was realized by multiple beam diffraction using Cr gratings on SiNx membranes fabricated by e-beam lithography. Si/Ge overgrowth was performed by molecular beam epitaxy. The impact of the microscopic shape and size of the prepattern using the mask design and the EUV-IL exposure dose as parameters on the Ge dot nucleation has been studied with atomic force microscopy, transmission electron microscopy and photoluminescence measurements. Adjusting the growth parameters in multiple layer deposition the initial two-dimensional configuration was transferred into three-dimensional QDC.  相似文献   

8.
We report on the growth and properties of Ge islands grown on (0 0 1) Si substrates with lithographically defined two-dimensionally periodic pits. After thermal desorption and a subsequent Si buffer layer growth these pits have an inverted truncated pyramid shape. We observe that on such prepatterned substrates lens-like Ge-rich islands grow at the pit bottoms with less Ge deposition than necessary for island formation on flat substrates. This is attributed to the aggregation of Ge at the bottom of the pits, due to Ge migration from the pit sidewalls. At the later stages of growth, dome-like islands with dominant {1,1,3} or {15,3,23}, or other high-index facets [i.e. {15,3,20} facets] are formed on the patterned substrates as shown by surface orientation maps using atomic force microscopy. Furthermore, larger coherent islands can be grown on patterned substrates as compared to Ge deposition on flat ones.  相似文献   

9.
In this study, SnO2/TiO2 thin films are fabricated on SiO2/Si and Corning glass 1737 substrates using a R.F. magnetron sputtering process. The gas sensing properties of these films under an oxygen atmosphere with and without UV irradiation are carefully examined. The surface structure, morphology, optical transmission characteristics, and chemical compositions of the films are analyzed by atomic force microscopy, scanning electron microscopy and PL spectrometry. It is found that the oxygen sensitivity of the films deposited on Corning glass 1737 substrates is significantly lower than that of the films grown on SiO2/Si substrates. Therefore, the results suggest that SiO2/Si is an appropriate substrate material for oxygen gas sensors fabricated using thin SnO2/TiO2 films.  相似文献   

10.
The ZnO nanowires have been synthesized using vapor-liquid-solid (VLS) process on Au catalyst thin film deposited on different substrates including Si(1 0 0), epi-Si(1 0 0), quartz and alumina. The influence of surface roughness of different substrates and two different environments (Ar + H2 and N2) on formation of ZnO nanostructures was investigated. According to AFM observations, the degree of surface roughness of the different substrates is an important factor to form Au islands for growing ZnO nanostructures (nanowires and nanobelts) with different diameters and lengths. Si substrate (without epi-taxy layer) was found that is the best substrate among Si (with epi-taxy layer), alumina and quartz, for the growth of ZnO nanowires with the uniformly small diameter. Scanning electron microscopy (SEM) reveals that different nanostructures including nanobelts, nanowires and microplates have been synthesized depending on types of substrates and gas flow. Observation by transmission electron microscopy (TEM) reveals that the nanostructures are grown by VLS mechanism. The field emission properties of ZnO nanowires grown on the Si(1 0 0) substrate, in various vacuum gaps, were characterized in a UHV chamber at room temperature. Field emission (FE) characterization shows that the turn-on field and the field enhancement factor (β) decrease and increases, respectively, when the vacuum gap (d) increase from 100 to 300 μm. The turn-on emission field and the enhancement factor of ZnO nanowires are found 10 V/μm and 1183 at the vacuum gap of 300 μm.  相似文献   

11.
We fabricated and analyzed the chemical states of carbon-doped (5.2–13.2 at.%) Ge2Sb2Te5 thin films on Si substrates using high-resolution, X-ray photoelectron spectroscopy with synchrotron radiation. Thin films were completely amorphous and their phase-change temperature was 150 °C higher than for un-doped GST. As the carbon doping concentration increased, new chemical states of Ge 3d with 29.9 eV and C 1s with 283.7 eV core-levels were observed. The doped carbon was bonded only with Ge in GST and doping was saturated at 8.7 at.%.  相似文献   

12.
The adsorption process of silane (SiH4) on a SiGe(0 0 1) surface has been investigated by using infrared absorption spectroscopy in a multiple internal reflection geometry. We have observed that SiH4 dissociatively adsorbs on a SiGe(0 0 1) surface at room temperature to generate Si and Ge hydrides. The dissociation of Si- and Ge-hydride species is found to strongly depend on the Ge concentration of the SiGe crystal. At a low Ge concentration of 9%, Si monohydride (SiH) and dihydride (SiH2) are preferentially produced as compared to the higher Si hydride, SiH3. At higher Ge concentrations of 19%, 36%, on the other hand, monohydrides of SiH and GeH and trihyderide SiH3 are favorably generated at the initial stage of the adsorption. We interpret that when SiH4 adsorbs on the SiGe surface, hydrogen atoms released from the SiH4 molecule stick onto Ge or Si sites to produce Si or Ge monohydrides and the remaining fragments of -SiH3 adsorb both on Si and Ge sites. The SiH3 species is readily decomposed to lower hydrides of SiH and SiH2 by releasing H atoms at low Ge concentrations of 0% and 9%, while the decomposition is suppressed by Ge in cases of 19% and 36%.  相似文献   

13.
In this paper, we report on a comparative study of the effect of Fe2O3 nanoparticles (NP), introduced onto a thin oxide layer formed on silicon and germanium surfaces, on the thermal decomposition pathway of the individual oxide layers. On both the surfaces, NP of Fe2O3 undergo a reduction reaction through a bonding partner change reaction, where the oxygen atoms change from Fe to Si or Ge. On both the surfaces, annealing results in the conversion of the suboxide-like species to dioxide-like species (SiOx to SiO2 and GeOx to GeO2 respectively for Si and Ge surfaces), until the oxide layer decomposes following the desorption of the respective monoxide species (SiO and GeO). Both the Si and Ge corelevels show a larger chemical shift (4.1 and 3.51 eV in Si 2p and Ge 3d corelevels, respectively) for the as-prepared oxide samples with the NP, at room temperature compared to that without the NP (3.7 and 3.4 eV), indicating a catalytic enhancement of the dioxide formation. Selective formation of silicon oxides leads to encapsulation of the nanoparticles and acts like a protective layer, preventing the oxidation of Fe.  相似文献   

14.
Zinc oxide nanowires (ZnO NWs) were grown by a two-step growth method, involving the deposition of a patterned ZnO thin seeding layer and the chemical vapor deposition (CVD) of ZnO NWs. Two ways of patterning the seed layer were performed. The seeding solution containing ZnO precursors was deposited by sol–gel/spin-coating technique and patterned by photolithography. In the other case, the seeding solution was directly printed by inkjet printing only on selected portion of the substrate areas. In both cases, crystallization of the seed layer was achieved by thermal annealing in ambient air. Vertically aligned ZnO NWs were then grown by CVD on patterned, seeded substrates. The structure and morphology of ZnO NWs was analyzed by means of X-ray diffraction and field emission scanning electron microscopy measurements, respectively, while the vibrational properties were evaluated through Raman spectroscopy. Results showed that less-defective, vertically aligned, c-axis oriented ZnO NWs were grown on substrates patterned by photolithography while more defective nanostructures were grown on printed seed layer. A feature size of 30 µm was transferred into the patterned seed layer, and a good selectivity in growing ZnO NWs was obtained.  相似文献   

15.
周国良  陈可明  田亮光 《物理学报》1988,37(10):1607-1612
本文报道了室温下淀积的薄层Ge在Si衬底表面上通过加热形成结晶的Ge岛,然后在此“带结构”的衬底表面上用分子束外延(MBE)方法生长Ge薄膜的反射式高能电子衍射(RHEED),俄歇电子能谱(AES)研究结果。X射线双晶衍射的测试结果表明,衬底表面的Ge岛有助于释放外延层的失配应力,提高外延层的晶体质量。 关键词:  相似文献   

16.
A modified pulsed laser deposition process was used to enhance the nanostructure generation inside Bi2Te3 nanocrystals. In this process, an additional femotosecond laser beam was used to add an energy shock on the ablated flume, which can result in rich nanostructures embedded inside Bi2Te3 nanocrystals. A large Si wafer was used to ‘freeze’ such nanostructures and to effectively collect such nanostructured nanocrystals for further processing. The generated nanocrystals were studied by X-ray diffraction and scanning transmission electron microscopy, and the results prove the existence of such embedded nanostructures. Such nanocrystals were also characterized electrically and thermally for the conductivity measurements.  相似文献   

17.
Darin Leonhardt  Sang M. Han   《Surface science》2009,603(16):2624-2629
We have measured the time evolution of Ge nucleation density on SiO2 over a temperature range of 673–973 K and deposition rates from 5.1 × 1013 atoms/cm2 s (5 ML/min) to 6.9 × 1014 atoms/cm2 s (65 ML/min) during molecular beam epitaxy. The governing equations from mean-field theory that describe surface energetics and saturation nucleation density are used to determine the size and binding energy of the critical Ge nucleus and the activation energy for Ge surface diffusion on SiO2. The critical nucleus size is found to be a single Ge atom over substrate temperatures from 673 to 773 K, whereas a three-atom nucleus is found to be the critical size over substrate temperatures from 773 to 973 K. We have previously reported 0.44 ± 0.03 eV for the Ge desorption activation energy from SiO2. This value, in conjunction with the saturation nucleation density as a function of substrate temperature, is used to determine that the activation energy for surface diffusion is 0.24 ± 0.05 eV, and the binding energy of the three-atom nucleus is 3.7 ± 0.1 eV. The values of the activation energy for desorption and surface diffusion are in good agreement with previous experiments of metals and semiconductors on insulating substrates. The small desorption and surface diffusion activation barriers predict that selective growth occurring on window-patterned samples is by direct impingement of Ge onto Si and ready desorption of Ge from SiO2. This prediction is confirmed by the small integral condensation coefficient for Ge on SiO2 and two key observations of nucleation behavior on the window-patterned samples. The first observation is the lack of nucleation exclusion zones around the windows, and second is the independence of the random Ge nucleation density on patterned versus unpatterned oxide surfaces. We also present the Ge nucleation density as a function of substrate temperature and deposition rate to demarcate selective growth conditions for Ge on Si with a window-patterned SiO2 mask.  相似文献   

18.
Ion beam patterned CrPt3 films were prepared by Kr+ ion irradiation at a dose of 2×1014 ions/cm2 onto L12-ordered CrPt3 whose surface was partially masked by electron beam patterned resists. Cross-sectional observation using transmission electron microscopy was carried out to study the patterning boundary of the CrPt3 film. Dark-field imaging showed a distinct contrast between non-irradiated (L12 phase) and irradiated (A1 phase) regions. The transition width between the two phases was estimated to be about 5 nm, which agreed well with the value simulated by a transport ion in matter (TRIM) code simulation.  相似文献   

19.
Nanostructured deposits of TiO2 were grown on Si (1 0 0) substrates by laser ablating a TiO2 sintered target in vacuum or in oxygen using a Ti:sapphire laser delivering 80 fs pulses. The effect of the laser irradiation wavelength on the obtained nanostructures, was investigated using 800, 400 and 266 nm at different substrate temperatures and pressures of oxygen. The composition of the deposits was characterized using X-ray photoelectron spectroscopy (XPS) and the surface morphology was studied by environmental scanning electron microscopy (ESEM) and atomic force microscopy (AFM). Deposits are absent of microscopic droplets in all conditions explored. The best deposits, constituted by nanoparticles of an average diameter of 30 nm with a narrow size distribution, were obtained at the shorter laser wavelength of 266 nm under vacuum at substrate room temperature.  相似文献   

20.
Single and stacked layers of Ge/Si quantum dots were grown in SiO2 windows patterned by electron-beam lithography on oxidized Si (0 0 1) substrates. The growth of a silicon buffer layer prior to Ge deposition is found to be an additional parameter for adjusting the Ge-dot nucleation process. We show that the silicon buffer layer evolves towards [1 1 3]-faceted pyramids, which reduces the area of the topmost (0 0 1) surface available for Ge nucleation. By controlling the top facet area of the Si buffer layers, only one dot per circular window and a high cooperative arrangement of dots on a striped window can be achieved. In stacked layers, the dot homogeneity can be improved through the adjustment of the Ge deposited amount in the upper layers. The optical properties of these structures measured by photoluminescence spectroscopy are also reported. In comparison with self-assembled quantum dots, we observed, both in single and stacked layers, the absence of the wetting-layer component and an energy blue shift, confirming therefore the dot formation by selective growth.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号