首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 65 毫秒
1.
A prediction model of charge density of silicon nitride (SiN) films was constructed by using a generalized regression neural network (GRNN). The SiN film was deposited by a plasma enhanced chemical vapor deposition (PECVD) system and the deposition process was characterized by means of a statistical experiment. The prediction performance of GRNN was optimized by using a genetic algorithm (GA) and yielded an improved prediction of about 63% over statistical regression model. The optimized model was utilized to qualitatively investigate the effect of process parameters under various pressures. A refractive index model was effectively utilized to validate charge density variations. For the variations in process parameters, charge density was strongly dependent on [N-H]. Effects of NH3 or SiH4 flow rates were significant only under high collision rate. Effect of pressure-induced collision rate was noticeable only at higher NH3 flow rate or lower SiH4 flow rate.  相似文献   

2.
The Hydrogenated silicon nitride (SiNx:H) using plasma enhanced chemical vapor deposition is widely used in photovoltaic industry as an antireflection coating and passivation layer. In the high temperature firing process, the SiNx:H film should not change the properties for its use as high quality surface layer in crystalline silicon solar cells. For optimizing surface layer in crystalline silicon solar cells, by varying gas mixture ratios (SiH4 + NH3 + N2, SiH4 + NH3, SiH4 + N2), the hydrogenated silicon nitride films were analyzed for its antireflection and surface passivation (electrical and chemical) properties. The film deposited with the gas mixture of SiH4 + NH3 + N2 showed the best properties in before and after firing process conditions.The single crystalline silicon solar cells fabricated according to optimized gas mixture condition (SiH4 + NH3 + N2) on large area substrate of size 156 mm × 156 mm (Pseudo square) was found to have the conversion efficiency as high as 17.2%. The reason for the high efficiency using SiH4 + NH3 + N2 is because of the good optical transmittance and passivation properties. Optimized hydrogenated silicon nitride surface layer and high efficiency crystalline silicon solar cells fabrication sequence has also been explained in this study.  相似文献   

3.
Silicon nitride films have emerged as the possible future dielectrics for ultra large scale integration (ULSI). Because the interface state density of silicon nitride/silicon interface in metal insulator semiconductor (MIS) configuration is more than an order of magnitude larger than that of silicon dioxide/silicon interface, plasma treatment studies on silicon nitride films have been undertaken for the possible improvement. Accordingly, silicon nitride films of various composition have been prepared by plasma enhanced chemical vapor deposition (PECVD) system using silane(SiH4) and ammonia(NH3) with nitrogen(N2) as the diluent and MIS devices have been fabricated with as well as without plasma treated silicon nitride as the insulator. A considerable improvement in the silicon nitride/silicon interface is observed on ammonia plasma treatment while nitrous oxide(N2O) plasma treatment studies have resulted in the establishment of a novel plasma oxidation process.  相似文献   

4.
Hydrogenated amorphous silicon nitride (a-SiNx:H) thin films have been deposited through the green chemistry route using silane (SiH4) and nitrogen (N2) as process gases with SiH4 flow being variable and N2 flow being constant without the use of pollutant and corrosive ammonia (NH3) by the plasma-enhanced chemical vapor deposition technique at 13.56 MHz. Fourier transform infrared spectroscopy analysis shows various possible vibrational modes of Si-H, Si-N, and N-H bonds present in the film. Raman spectroscopy is performed on these samples to calculate volume fractions corresponding to amorphous phases present in the a-SiNx:H films. The refractive index (η) values are calculated using Swanepoel's method, which are in the range of 2.89 to 3.17. The thickness of the deposited films has been evaluated using transmission spectra. Absorption coefficient and band gap (E g) values are obtained from optical absorption studies. An increase in the E g and a decrease in the η value have been observed for the samples grown with decreasing SiH4 flow.  相似文献   

5.
Hydrogenated amorphous silicon carbon nitride (a-SiCN:H) thin films were deposited by hot wire chemical vapor deposition (HWCVD) using SiH4, CH4, NH3 and H2 as precursors. The effects of the H2 dilution on structural and chemical bonding of a-SiCN:H has been investigated by Raman and X-ray photoelectron spectroscopy (XPS). Increasing the H2 flow rate in the precursor gas more carbon is introduced into the a-SiCN:H network resulting in decrease of silicon content in the film from 41 at.% to 28.8 at.% and sp2 carbon cluster increases when H2 flow rate is increased from 0 to 20 sccm.  相似文献   

6.
Silicon nitride (SiNX) film fabrication on polyethylene terephthalate (PET) substrates has been achieved at a low temperature (∼100 °C) by plasma enhanced chemical vapor deposition operated at near atmospheric pressures. A short-pulse based power system was employed to maintain a stable discharge of SiH4, H2 and N2 in near atmospheric pressures without the use of any inert gases such as He. The deposited films were characterized by X-ray photoelectron spectroscopy. Cross sections of the films were observed by scanning electron microscope (SEM). Despite the use of N2 in place of NH3, a high deposition rate (290 nm/min) was obtained by this near-atmospheric-pressure plasma.  相似文献   

7.
The low-temperature fabrication of silicon nitride films by ArF excimer laser irradiation has been studied. Two fabrication methods are presented. One is photoenhanced direct nitridation of a silicon surface with NH3 for very thin gate insulators, and the other is photo-enhanced deposition of silicon nitride films with Si2H6 and NH3 gases for stable passivation films. The ArF excimer laser irradiation dissociates the NH3 gas producing NH and NH2 radicals which proved effective in instigating the nitridation reaction. The quality of both films has been much improved and the growth temperature has been lowered by using laser irradiation. These photo-enhanced processes seem to be promising ULSI techniques because they do not depend on high temperatures and are free from possible reactor contamination.  相似文献   

8.
We have investigated the phase separation and silicon nanocrystal (Si NC) formation in correlation with the optical properties of Si suboxide (SiOx, 0 < x < 2) films by thermal annealing in high vacuum. The SiOx films were deposited by plasma-enhanced chemical vapor deposition at different nitrous oxide/silane (N2O/SiH4) flow ratios. The as-deposited films show increased Si concentration with decreasing N2O/SiH4 flow ratio, while the deposition rate and surface roughness have strong correlations with the flow ratio in the N2O/SiH4 reaction. After thermal annealing at temperatures above 1000 °C, Fourier transform infrared spectroscopy, Raman spectroscopy, and transmission electron microscopy manifest the progressive phase separation and continuous growth of crystalline-Si (c-Si) NCs in the SiOx films with increasing annealing temperature. We observe a transition from multiple-peak to single peak of the strong red-range photoluminescence (PL) with increasing Si concentration and annealing temperature. The appearance of the single peak in the PL is closely related to the c-Si NC formation. The PL also redshifts from ∼1.9 to 1.4 eV with increasing Si concentration and annealing temperature (i.e., increasing NC size). The good agreements of the PL evolution with NC formation and the PL peak energy with NC size distribution support the quantum confinement model.  相似文献   

9.
Silicon nitride (SiNx) films were prepared with a gas mixture of SiH4 and NH3 on Si wafers using the plasma-enhanced chemical vapor deposition (PECVD) method. High-resolution transmission electron microscopy and infrared absorption have been used to reveal the existence of the Si quantum dots (Si QDs) and to determine the chemical composition of the silicon nitride layers. The optical properties of these structures were studied by photoluminescence (PL) spectroscopy and indicate that emission mechanisms are dominated by confined excitons within Si QDs. The peak position of PL could be controlled in the wavelength range from 1.5 to 2.2 eV by adjusting the flow rates of ammonia and silane gases. Absorbance spectra obtained in the transmission mode reveal optical absorption from Si QDs, which is in good correlation with PL properties. These results have implications for future nanomaterial deposition controlling and device applications.  相似文献   

10.
In this work, a complex investigation of the film surface composition and nanoscale mechanical properties, i.e. hardness and elastic modulus, of plasma-modified and silica-coated hydrogel thin films was carried out. Plasma treatment was performed in a reactive ion etching chamber (SF6, CHF3) at radio frequency (rf, 13.56 MHz) and in a plasma-enhanced chemical vapor deposition chamber (SiH4/N2, NH3, N2O) at radio frequency and dual frequency (13.56 MHz/100 kHz), respectively. The use of the dual-frequency configuration comprising two power supplies and operated in a switched mode enabled the investigation of the ion-bombardment influence on the polymer properties. For the application in silicon micromachined sensors best results were obtained by using a NH3 or SiH4/N2 low-pressure plasma modification and a silica coating of the sensitive hydrogel film. PACS 81.05.Lg; 81.15.Gh; 81.65.Cf; 81.70.Bt  相似文献   

11.
Hydrogenated amorphous silicon nitride (a-SiN:H) films were deposited on flexible polyethylene terephthalate substrates at temperature as low as 100 °C by hot-wire chemical vapor deposition using SiH4, H2 and NH3 precursors. Field emission scanning emission microscopy, Raman spectroscopy, Fourier transform infrared spectroscopy and small angle X-ray scattering were employed to study structural and microstructural properties of a-SiN:H films. The rms surface roughness increased with increase of positive bias to substrate. Intermediate range order, porosity and interface inhomogeneity in amorphous of a-SiN:H films evaluated by acoustic and optical phonon of silicon network, Guinier plot and correlated length from Raman and SAXS characterizations. The fractal behavior of a-SiN:H domains approached the perfect symmetry and the intermediate range order of a-SiN:H films deteriorate with increase of the positive substrate bias. Both correlation length and void size of the a-SiN:H amorphous domain increased with increase of the substrate bias from 0 to +200 V.  相似文献   

12.
Silicon-nitride films with silicon nanoparticles have been prepared at 300 °C by remote plasma-enhanced chemical vapor deposition using mixtures of H2, Ar and SiH2Cl2 and various NH3 flow rates. The films were characterized by means of Rutherford backscattering spectrometry, Fourier-transform infrared spectroscopy, single wavelength ellipsometry, high-resolution transmission electronic microscopy, atomic force microscopy and photoluminescence measurements. It was found a chemical stability as well as an increase in the photoluminescence signal for those films with the greatest amount of NH3. The increase in the photoluminescence signal is due to a quantum confinement effect produced by the nanoparticles, which were formed during the film's preparation process.  相似文献   

13.
采用螺旋波等离子体化学气相沉积技术以N2/SiH4/H2为反应气体制备了镶嵌有纳米非晶硅颗粒的氢化氮化硅薄膜,通过改变N2流量实现了薄膜从红到蓝绿的可调谐光致发光.傅里叶红外透射和紫外-可见光吸收特性分析表明,所生长薄膜具有较高的氢含量,N2流量增加使氢的键合结构发生变化,非晶硅颗粒尺寸减小,所对应的薄膜的光学带隙逐渐增加和微观结构有序度减小.可调光致发光(PL)主要来源于纳米硅颗粒的量子限制效应发光,随N2流量增加,PL的谱线展宽并逐渐增强. 关键词: 傅里叶红外透射谱 光吸收谱 纳米硅粒子镶嵌薄膜 光致发光  相似文献   

14.
The photoluminescence (PL) of silicon nanoclusters embedded in silicon nitride films grown by remote plasma-enhanced chemical vapor deposition at 200 °C, using mixtures of SiCl4/H2/Ar/NH3 is investigated. It was found that the color and the intensity of the PL of the as-grown samples depend on the H2 flow rate, and there is an optimum flow for which a maximum luminescence is obtained. A strong improvement of the PL intensity and change in color was obtained with annealing treatments in the range of 500–1000 °C. The changes in the composition, structure and optical properties of the films, as a function of H2 flow rate and thermal treatments, were studied by means of Fourier-transform infrared spectroscopy, X-ray photoelectron spectroscopy, ellipsometry and ultraviolet–visible transmission measurements. We conclude that the PL can be attributed to quantum confinement effect in silicon nanoclusters embedded in silicon nitride matrix, which is improved when a better passivation of the nanoclusters surface is obtained.  相似文献   

15.

The effect of the dilution of silane and nitrogen with hydrogen on the optical properties of hydrogenated amorphous silicon-nitrogen films prepared by plasma deposition has been investigated as functions of the gas-volume ratio γ (= ([SiH4] + [N2])/([SiH4] + [N2] + [H2]) and the substrate temperature. The prepared films are characterized by the values of the deposition rate, the optical gap, the Urbach energy, the defect density, the integrated infrared absorption intensity and the refractive index, and by correlations between these parameters and the type of hydrogen- and nitrogen-bonding configurations estimated from infrared absorption spectra. The hydrogen dilution effect is discussed in terms of the above and compared with that in hydrogenated amorphous silicon reported in a previous paper by the present authors. It is pointed out that nitrogen atoms incorporated into the silicon network cause more disorder than incorporated hydrogen atoms, from the γ dependence of the Urbach energy and the integrated infrared intensities associated with the hydrogen and nitrogen bondings.  相似文献   

16.
《Current Applied Physics》2010,10(3):971-974
Using a radio frequency (rf) pulsed-plasma enhanced chemical vapor deposition system, silicon nitride (SiN) films were deposited in a SiH4–N2 inductively coupled plasma. Effect of duty ratio and rf source powers on deposition rate at room temperature were investigated in the ranges 50–90% and 600–900 W, respectively. Plasma diagnostics on ion energy was conducted and rf source power-induced ion energy impact on SiN films were studied as well as some correlations between deposition rate and ion energy. High and low energies ranged from 17.8 to 22.6 eV, and from 23.6 to 33.8 eV, respectively. Higher ion energies observed at lower duty ratios or lower rf powers was attributed to a lower plasma density. Ion energy flux variation was opposite to that for ion energy. Meanwhile, the deposition rate increased with decreasing the duty ratio at all powers but 900 W. This was not clear as a function of rf source power. The deposition rate ranged from 17.0 to 26.5 nm/min.  相似文献   

17.
Zr-Si-N films were deposited on silicon and steel substrates by cathodic vacuum arc with different N2/SiH4 flow rates. The N2/SiH4 flow rates were adjusted at the range from 0 to 12 sccm. The films were characterized by X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS), scanning electron microscope (SEM), hardness and wear tests. The structure and the mechanical properties of Zr-Si-N films were compared to those of ZrN films. The results of XRD and XPS showed that Zr-Si-N films consisted of ZrN crystallites and SiNx amorphous phase. With increasing N2/SiH4 flow rates, the orientation of Zr-Si-N films became to a mixture of (1 1 1) and (2 0 0). The column width became smaller, and then appeared to vanish with the increase in N2/SiH4 flow rates. The hardness and Young's modulus of Zr-Si-N films increased with the N2/SiH4 flow rates, reached a maximum value of 36 GPa and 320 GPa at 9 sccm, and then decreased 32 GPa and 305 GPa at 12 sccm, respectively. A low and stable of friction coefficient was obtained for the Zr-Si-N films. Friction coefficient was about 0.1.  相似文献   

18.
纳米晶硅薄膜中氢含量及键合模式的红外分析   总被引:6,自引:0,他引:6       下载免费PDF全文
采用传统射频等离子体化学气相沉积技术在100—350℃的衬底温度下高速沉积氢化硅薄膜. 傅里叶变换红外光谱和Raman谱的研究表明,纳米晶硅薄膜中的氢含量和硅氢键合模式与薄膜的晶化特性有密切关系,当薄膜从非晶相向晶相转变时,氢的含量减少了一半以上,硅氢键合模式以SiH2为主. 随着衬底温度的升高和晶化率的增加,纳米晶硅薄膜中氢的含量以及其结构因子逐渐减少. 关键词: 氢化纳米晶硅薄膜 红外透射谱 氢含量 硅氢键合模式  相似文献   

19.
Amorphous silicon-nitride thin films a-Si:N:H were obtained by plasma enhanced chemical vapour deposition (PECVD) method from SiH4+NH3 at 13.56 MHz. The process parameters were chosen to obtain the films of properties suitable for optoelectronic and mechanical applications. FTIR analysis of a-Si:N:H films indicated the presence of numerous hydrogen bonds (Si-H and N-H) which passivate structural defects in multicrystalline silicon and react with impurities. The morpho-logical investigations show that the films are homogeneous. The deposition of a-Si:N:H layers leads to the decrease in friction coefficient of used substrates. Optical properties were optimised to obtain the films of low effective reflectivity, large energy gap Eg from 2.4 to 2.9 eV and refractive index in the range of 1.9 to 2.2. Reduction of friction coefficient for monocrystalline silicon after covering with a-Si:N:H films was observed: from 0.25 to 0.18 for 500 cycles.  相似文献   

20.
We report the fabrication of high breakdown voltage metal-insulator-metal (MIM) capacitors with 200-nm silicon nitride deposited by plasma-enhanced chemical vapor deposition with 0.957 SiH4/NH3 gas mixing rate, 0.9 Torr working pressure, and 60 W rf power at 250℃ chamber temperature. Some optimized mechanisms such as metal source wiping, pre-melting and evaporation rate adjustment are used for increasing the yield of the MIM capacitors. N2 annealing and O2/H2 plasma pre-deposition treatment is proposed to increase the reliability of the MIM capacitors in high-temperature, high-pressure, and high-humidity environments. A 97% yield and up to 148 V breakdown voltage of a 13.06pF MIM capacitor with 0.04 mm^2 die area can be fabricated.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号