首页 | 本学科首页   官方微博 | 高级检索  
     检索      

3DNoC关键通信部件容错方法研究综述
引用本文:欧阳一鸣,孙成龙,陈奇,梁华国,易茂祥,黄正峰,闫爱斌.3DNoC关键通信部件容错方法研究综述[J].电子学报,2016,44(12):3053-3063.
作者姓名:欧阳一鸣  孙成龙  陈奇  梁华国  易茂祥  黄正峰  闫爱斌
作者单位:1. 合肥工业大学计算机与信息学院,安徽合肥,230009;2. 合肥工业大学电子科学与应用物理学院,安徽合肥,230009
摘    要:三维片上网络通过硅通孔(Through Silicon Via,TSV)将多层芯片进行堆叠,具有集成密度大,通信效率高等特点,是片上多核系统的主流通信架构。然而,工艺偏差及物理缺陷所引发的错误和TSV良率较低等因素,使得三维片上网络面临严重的故障问题。为保证通信效率,对三维片上网络关键通信部件进行容错设计必不可少。本文针对三维片上网络关键通信部件———路由器和TSV的故障和容错相关问题,从容错必要性、国内外研究现状、未来的研究方向和关键问题、以及拟提出的相关解决方案四个方面,展开深入探讨。为提高片上网络可靠性、保证系统高效通信提供一体化的解决方案。

关 键 词:集成电路  三维片上网络  容错  TSV  路由器加固
收稿时间:2015-05-11

FauIt-ToIerant Method of CriticaI Communication Components in 3 D NoC:A Review
OUYANG Yi-ming,SUN Cheng-long,CHEN Qi,LIANG Hua-guo,YI Mao-xiang,HUANG Zheng-feng,YAN Ai-bin.FauIt-ToIerant Method of CriticaI Communication Components in 3 D NoC:A Review[J].Acta Electronica Sinica,2016,44(12):3053-3063.
Authors:OUYANG Yi-ming  SUN Cheng-long  CHEN Qi  LIANG Hua-guo  YI Mao-xiang  HUANG Zheng-feng  YAN Ai-bin
Abstract:
Keywords:integrated circuit  3D NoC  fault tolerant  through-silicon-via  router reinforcement
本文献已被 万方数据 等数据库收录!
点击此处可从《电子学报》浏览原始摘要信息
点击此处可从《电子学报》下载免费的PDF全文
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号