首页 | 本学科首页   官方微博 | 高级检索  
     

用FPGA实现多路PWM输出的接口设计与仿真
引用本文:徐军,王华东. 用FPGA实现多路PWM输出的接口设计与仿真[J]. 电子工程师, 2007, 33(5): 42-44
作者姓名:徐军  王华东
作者单位:江苏财经职业技术学院,江苏省淮安市,223001
摘    要:在电机控制等许多应用场合,需要产生多路频率和脉冲宽度可调的PWM(脉宽调制)波形。文中用Altera公司FPGA(现场可编程门阵列)产品开发工具QuartusⅡ,以设计6路PWM输出接口为例,介绍了用FPGA实现多路PWM输出的接口设计和仿真方法,并给出了功能仿真和器件仿真的波形。在嵌入式系统中通过FPGA扩展系统功能,整个系统的效率和功能可以得到最大限度的提高,是一种较好的选择。

关 键 词:接口  FPGA  PWM输出  逻辑仿真和器件验证
修稿时间:2006-09-11

Design and the Simulation of the Interface of Multi-channel PWM Output Based on FPGA
XU Jun,WANG Huadong. Design and the Simulation of the Interface of Multi-channel PWM Output Based on FPGA[J]. Electronic Engineer, 2007, 33(5): 42-44
Authors:XU Jun  WANG Huadong
Abstract:In many applications situations such as the control of electromotor and so on,it needs to have the multi-channel PWM wave which the frequency and the pulse width can be adjusted.This paper uses Altera Corporation's FPGA development kit QuartusII,taking the design of 6 route PWM output interface as example,introduces the design and the simulation method of the interface of multi-channel PWM output realizeed with FPGA,and has offered the wave of PWM function simulation and the component simulation.With the expansion of system function by FPGA,the efficiency and the function of the embedded system may obtain the maximum enhancement.
Keywords:interface  FPGA  PWM output  logic simulation and component confirmation  
本文献已被 CNKI 维普 万方数据 等数据库收录!
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号