首页 | 本学科首页   官方微博 | 高级检索  
     检索      

基于IEEE802.16a协议的OFDM系统的FPGA实现
引用本文:王宗平,酆广增.基于IEEE802.16a协议的OFDM系统的FPGA实现[J].中国数据通信,2004,6(9):59-62.
作者姓名:王宗平  酆广增
作者单位:南京邮电学院通信工程系,南京210003
摘    要:主要介绍了OFDM的调制解调的FPGA实现,首先介绍OFDM的基本原理,然后给出了采用FPGA实现基于IFFT/FFT的OFDM调制解调的具体结构,以及插入循环前缀和去循环前缀的具体结构。

关 键 词:IEEE802.16a  OFDM系统  FPGA实现  IFFT/FFT  OFDM调制  循环前缀  协议  插入  调制解调  基本原理
本文献已被 维普 等数据库收录!
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号