首页 | 本学科首页   官方微博 | 高级检索  
     检索      

450 mm晶圆CMP设备技术现状与展望
引用本文:柳滨,周国安.450 mm晶圆CMP设备技术现状与展望[J].电子工业专用设备,2014(3):33-36,60.
作者姓名:柳滨  周国安
作者单位:中国电子科技集团公司第四十五研究所,北京100176
摘    要:分析化学机械平坦化(CMP)耗材发展现状及趋势,推断450 mm晶圆的CMP设备及技术的迫切性;在此基础上,展望450 mm晶圆将会采用系统集成技术、多区域压力控制承载器技术、抛光垫修整技术、终点检测技术、后清洗技术,并初步分析以上这些技术的特点。最后指出随着晶圆制造厂激烈竞争和持续投资,对450 mm的CMP设备要求必有所突破。

关 键 词:化学机械平坦化  集成技术  多区域压力控制  终点检测  抛光垫修整  后清洗

The Current Status and Forecast for the 450 mm CMP Equipment
Abstract:The paper analyze the current status and trend of the consumable, then alleging the urgently for developing the 450mm wafer's CMP tool and its technology;Basing on the viewpoint which above mentioned, the paper forecasts the 450mm CMP tools will adopt integrated technology、Multi-zone back pressure control technology、pad conditioner technology、endpoint detection technology、post CMP cleaning technology, then analyze each technology specialty. At last, the paperindicate the wafer fabrication compete drastically and invest continually in the near future, which must make the 450mm wafer's CMP tool break through.
Keywords:Chemical mechanical planarization (CMP)  System integrated  Multi-zone back pressure control  Endpoint detection  Pad conditioner  Post CMP cleaning
本文献已被 CNKI 维普 等数据库收录!
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号