首页 | 本学科首页   官方微博 | 高级检索  
     检索      

基于FPGA的NAND FLASH控制器
引用本文:刘思平,陈利学.基于FPGA的NAND FLASH控制器[J].现代电子技术,2007,30(9):134-135.
作者姓名:刘思平  陈利学
作者单位:西南石油大学研究生院,四川成都,616000
摘    要:主要介绍现场可编程阵列FPGA在NAND FLASH芯片测试仪系统中的应用,由于芯片本身内部结构非常复杂,还可以允许坏块的存在,而且坏块的数目在使用过程中还可以增加,这使得对芯片进行操作变得非常难,而利用FPGA对NAND FLASH进行控制,可以非常方便地对他进行读写、擦除以及坏块判断等几种重要的操作,从而能快捷、准确、稳定地测试出芯片的好坏,为NAND FLASH厂商和用户提供更为准确的判断依据。

关 键 词:NANDFLASH  FPGA  VHDL  BLOCK  PAGE  Modelsim
文章编号:1004-373X(2007)09-134-02
修稿时间:2006年9月13日

NAND FLASH Controller Based on FPGA
LIU Siping,CHEN Lixue.NAND FLASH Controller Based on FPGA[J].Modern Electronic Technique,2007,30(9):134-135.
Authors:LIU Siping  CHEN Lixue
Abstract:The paper mainly introduces FPGA in the chip tester system application.As the chip itself is very complicated structure,it allows the existence of bad blocks,the number of bad blocks in the use of the process can still increase,it operats the chip has become very difficult.And the use of NAND FLASH FPGA control,it is very convenient for reading,writing,erasing and bad block judgment of several pieces of important operational.So it can quickly and accurately test the stability of the chip is good or bad for NAND FLASH manufacturers and users with a more accurate basis for the judgment.
Keywords:NAND FLASH  FPGA  VHDL  BLOCK  PAGE  Modelsim
本文献已被 CNKI 维普 万方数据 等数据库收录!
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号