首页 | 本学科首页   官方微博 | 高级检索  
文章检索
  按 检索   检索词:      
出版年份:   被引次数:   他引次数: 提示:输入*表示无穷大
  收费全文   2篇
  免费   0篇
综合类   2篇
  2013年   2篇
排序方式: 共有2条查询结果,搜索用时 15 毫秒
1
1.
针对维序路由模式下报文传输时表现出的空间局部性,提出了一种适用于报文直向通信优化传输的直通路径预构(SFRP)路由器结构.通过在路由器内部输入端口N,S,E,W分别静态地预构一条连接其直向通信输出端口的开关直通路径,结合适当的重用以及中断机制,以期实现后续的报文在满足匹配条件的情况下可直接利用开关直通路径进行报文的传输,进而旁通开关分配流水站,降低报文传输延迟.合成通信负载下的模拟结果表明,SFRP路由器在报文注入率饱和前较BASE, BASE_LR, BASE_LR_SPC和PSEUDO_CIRCUIT等四种路由器结构均可获得非常明显的性能提升,其性能提升最大可达到59%, 46%, 25.6%和9.5%.真实应用负载下的模拟结果表明,SFRP路由器与PSEUDO_CIRCUIT路由器的平均延迟相当,但较其他几种路由器结构可分别获得57%, 45%和21%的性能提升.  相似文献   
2.
针对写作废的一致性协议在处理迁移特征时需要2次访问的特征,提出了一种自适应的令牌协议,根据数据的读/写处理器和数据的令牌信息判断数据是否具有迁移特征.如果数据具有迁移特征,则请求者的状态变为可以避免迁移访问序列中出现写访问的状态MG,从而消除了迁移特征中的写作废次数.结果表明,所提出的自适应令牌协议在读访问时获取了数据所有权,避免了部分写失效的产生,从而使得平均失效延迟降低5%,通信量平均降低9%.
  相似文献   
1
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号