首页 | 本学科首页   官方微博 | 高级检索  
文章检索
  按 检索   检索词:      
出版年份:   被引次数:   他引次数: 提示:输入*表示无穷大
  收费全文   3篇
  免费   0篇
无线电   3篇
  2005年   1篇
  2004年   2篇
排序方式: 共有3条查询结果,搜索用时 15 毫秒
1
1.
主要介绍了OFDM的调制解调的FPGA实现,首先介绍OFDM的基本原理,然后给出了采用FPGA实现基于IFFT/FFT的OFDM调制解调的具体结构,以及插入循环前缀和去循环前缀的具体结构。  相似文献   
2.
基于IEEE 802.16a的OFDM基带调制系统的FPGA设计   总被引:1,自引:0,他引:1  
本文研究了基于IEEE802.16a的OFDM基带调制系统的实时实现,提出了一种切实可行的IEEE802.16a的OFDM调制方式的FPGA实现方法与结构,并介绍了IFFT运算、QPSK映射和插入循环前缀的具体电路。最后给出了硬件性能分析。  相似文献   
3.
主要介绍了OFDM的调制解调的FPGA实现,首先介绍OFDM的基本原理,然后给出了采用FPGA实现基于IFFT/FFT的OFDM调制解调的具体结构,以及插入循环前缀和去循环前缀的具体结构.  相似文献   
1
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号