首页 | 本学科首页   官方微博 | 高级检索  
文章检索
  按 检索   检索词:      
出版年份:   被引次数:   他引次数: 提示:输入*表示无穷大
  收费全文   60篇
  免费   1篇
综合类   2篇
数学   1篇
物理学   1篇
无线电   57篇
  2023年   2篇
  2015年   1篇
  2014年   2篇
  2013年   6篇
  2012年   3篇
  2011年   3篇
  2010年   3篇
  2009年   2篇
  2008年   10篇
  2007年   10篇
  2006年   5篇
  2005年   4篇
  2004年   6篇
  1999年   1篇
  1994年   1篇
  1986年   1篇
  1983年   1篇
排序方式: 共有61条查询结果,搜索用时 975 毫秒
11.
本文结合处理器芯片实际项目,重点介绍了功能验证环节的工作。文章基于VMM验证平台,利用System Verilog语言自动生成测试激励,采用断言和功能覆盖率相结合的验证方法,实时监测RTL模型运行时的各种信号,自动进行覆盖率统计,通过增加约束实现覆盖率的快速收敛。文章最终给出了基于VMM验证平台进行功能验证的结果,绘制了功能覆盖率上升曲线。  相似文献   
12.
随着SoC规模的日益扩大,功能验证也日趋复杂,在模块级验证中尽早地找出设计中的逻辑错误,能大大节省时间和人力的开销。针对EraSoC芯片,搭建了一个模块级功能验证平台,采用事务级的验证策略,并综合运用了约束随机,断言和覆盖率驱动等多种验证方法。以CAN控制器的验证为例介绍了该平台的具体设计和使用。该验证平台极大地提高了验证效率和重用性,在EraSoC的验证中发挥了重要作用。平台的结构和方法具有通用性,可以为其他类似系统的验证提供借鉴。  相似文献   
13.
董杨鑫  郑建宏 《电子质量》2007,22(10):53-56
验证在SoC设计过程中有十分重要的作用,它将影响到芯片的整体开销和质量.本文首先介绍了当前业界比较常用的一些验证技术的特点,包括仿真技术、静态验证技术、形式验证、物理验证等,然后通过实例论述在SoC设计验证中的关键技术--重用技术、随机约束验证、自检技术和形式断言验证.  相似文献   
14.
硬件设计复杂度的增加使功能验证成为硬件设计方法学中的重要内容,基于断言技术的硬件设计验证技术(ABV:Assertion Based Verification)得到越来越多的应用。本文首先简要地介绍了硬件设计断言的类型,然后详细讨论了使用断言进行硬件设计验证的方法,包括:断言在仿真中的应用,断言在形式验证中的应用,结合了仿真及形式验证的混合形式验证等.本文还介绍了支持断言验证技术的设计语言和设计属性检查库,包括OVL(Open Verification Library)、OVA(OpenVera Assertion)和OVA设计属性检查库等。  相似文献   
15.
属性说明语言在基于断言的硬件验证中的应用   总被引:2,自引:2,他引:0  
EDA界的标准化组织Accellera最近确定IBM的sugar语言为标准的属性说明语言,可以用于基于断言验证技术的设计属性说明.文章首先介绍了基于断言验证的基本概念和属性说明语言PSL的用途和属性定义.然后给出了用PSL实现基于断言的硬件验证方法.用一个实例说明了怎样用PSL语言实现基于断言的验证.  相似文献   
16.
随着SoC设计复杂程度的不断提高,芯片的功能验证面临的挑战越来越大。断言作为一种描述属性的方法,可以快速地验证设计代码是否满足系统要求。基于断言的验证方法学近年来发展极为迅速,应用也越来越广泛。在基于Multi-layer AHB总线架构上的SoC系统验证过程中,采用System Verilog Assertion验证方法,证明SVA是SoC设计过程中功能验证的一种有效的验证方法。  相似文献   
17.
王鹏  邵伟  姜承翔  田毅  薛茜男 《应用声学》2014,22(6):1827-1829
结合约束随机、覆盖率驱动及断言等多种验证方法,对层次化验证平台的搭建方法进行了研究;以对ARINC629航空数据总线接口控制器的验证过程为例,介绍了基于System Verilog的层次化验证平台的搭建过程及其使用方法;根据验证后覆盖率报告,证明各项功能均已达到其设计需求,且实现率为100%;验证结果表明该平台和传统验证方法相比极大地提高了验证效率,平台的搭建方法和结构具有一定的通用性,更改其数据生成模块即可用于其他类似设计的验证。  相似文献   
18.
下一代芯片设计与验证语言:SystemVerilog(验证篇)   总被引:1,自引:0,他引:1  
System Verilog是下一代芯片设计和验证语言,于2005年12月被标准化为IEEE P1800-2005。本文介绍System Verilog发展的过程及其对验证建模的支持,重点讨论其在功能验证方面的重要应用及使用技巧。  相似文献   
19.
结合断言与覆盖率为导向的验证方法   总被引:5,自引:2,他引:3  
伴随着半导体工艺的不断发展,可以将更多的功能集成到单系统芯片上.这对传统的验证方法和验证途径提出了种种挑战.以覆盖率为导向的验证方法中,覆盖率模型是在外部通过DUT执行的功能来统计覆盖率,很难侦测到DUT内部的工作状态,存在对功能"遗漏点"的侦测.基于断言的验证方法可以将断言加入到DUT内部,通过断言覆盖加强覆盖率检测.阐述了将断言和覆盖率为导向相结合的验证方法,并用此种方法对USB2.0系统进行了验证.讨论了如何将两种验证方法有效地结合,并且通过比较覆盖率为导向的验证方法与结合断言与覆盖率为导向的验证方法的结果,说明结合断言与覆盖率为导向的验证方法提高了验证过程中的观测性,减少了验证周期.  相似文献   
20.
国际上的认证与授权方面的标准主要有安全性断言标记语言(SAML)、可扩展访问控制标记语言(XACML)等,中国下一代互联网示范工程(CNGI)跨机构的统一认证和授权中间件技术项目基于国际规范,提出了结合现有成熟产品DT(DigitalTrust)、身份提供者(IdP)和服务提供者(SP)而建立跨域跨机构统一身份认证、授权和审计(AAA)系统的完整方案。该系统提供一种独立于协议和平台的身份验证和资源访问授权交换机制,对于CNGI环境下跨域跨机构统一认证和授权技术的发展和应用具有很好的示范意义。  相似文献   
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号