首页 | 本学科首页   官方微博 | 高级检索  
文章检索
  按 检索   检索词:      
出版年份:   被引次数:   他引次数: 提示:输入*表示无穷大
  收费全文   90篇
  免费   5篇
化学   54篇
力学   1篇
数学   8篇
物理学   32篇
  2018年   1篇
  2015年   4篇
  2014年   5篇
  2013年   1篇
  2012年   6篇
  2011年   2篇
  2010年   2篇
  2009年   4篇
  2008年   3篇
  2007年   3篇
  2006年   1篇
  2005年   4篇
  2004年   1篇
  2003年   5篇
  2002年   6篇
  2001年   4篇
  2000年   6篇
  1999年   7篇
  1998年   2篇
  1997年   3篇
  1996年   3篇
  1994年   1篇
  1993年   1篇
  1991年   2篇
  1990年   1篇
  1987年   1篇
  1983年   2篇
  1980年   1篇
  1976年   3篇
  1975年   2篇
  1974年   1篇
  1973年   1篇
  1915年   2篇
  1913年   1篇
  1899年   3篇
排序方式: 共有95条查询结果,搜索用时 15 毫秒
41.
Generating systematic data on incoming materials, processes, production environments and products by contamination monitoring and analyis is the key element of quality assurance in semiconductor fabrication. To be able to match the analytical capabilities to the requirements of improving materials and processes, the level of sophistication of contamination monitoring and analysis systems must be higher than the expected demands in the fabrication line. The accuracy of each analytical method has to be cross-checked by different independent techniques. Accuracy, precision, power of detection, analysis time and expenses should always be tailored to the particular case. All monitoring methods must run under statistical process control. The methods described meet the analytical requirements of the near future in semiconductor grade silicon manufacturing.Glossary AAS Atomic Absorption Spectrometry - ADD Acid-mixture Drop Decomposition of the native oxide and, occasionally of Si surface due to given acid etchant mixtures such as HF+HNO3 in order to preconcentrate dissolvable metallic impurities of the surface (c.f. MAD, VPD, WSSD) - AEM Analytical Electron Microscopy - AEPS Auger Electron Appearance Potential Spectroscopy (=APAES) - AES Auger Electron Spectroscopy - AFM Atomic Force Microscope - AM Acoustic Microscopy - AMS Accelerator Mass Spectrometry (Tandem-SIMS) - APIMS Atmospheric Pressure Ionization Mass Spectroscopy - ARAES Angle-Resolved Auger Electron Spectroscopy - ARUPS Angle-Resolved Ultraviolet Photoemission Spectroscopy - ATR-FTIR Attenuated Total Reflection Fourier Transform Infrared Spectroscopy - BEEM Ballistic Electron Emission Microscopy - BMD heat induced Bulk Micro-Defects in monocrystalline Si after a specified thermal process cycle, corresponding to SIOx precipitates in monocrystalline Si [31] - BSE Back Scattered Electrons - CE Capillary Electrophoresis (cf. CIA) - CIA Capillary Ion Analysis (cf. CE) - COP Crystal Originated Particle detected as LPD - CV Capacitance-Voltage measurement - CVD Chemical Vapor (phase) Deposit(ion) - CZ-Si monocrystalline Si grown by Czochralski-method from quartz crucible in a noble gas atmosphere - DCT Coulbe Crystal x-ray Topography - DLTS Deep Level Transient Spectroscopy [72] - DRAM Dynamic Random Access Memories operate as memory devices by storing charge near the Si wafer surface in micro-capacitors. Defects and impurities can cause the loss of the stored charge and a periodic refresh of the signals is required (cf. PTF). - DTDA Differential Thermal Desorption Analysis [73] - DZ Denuded Zone, i.e. a subsurface layer free of SiOx precipitates - EBIC Electron Beam Induced Conductivity - EDS Energy Dispersive Spectroscopy - EDAX Energy Dispersive Analysis of X-rays - EELS Electron Energy Loss Spectroscopy - EG Extrinsic Gettering, i.e. removal of metals from the active device region by dislocation stress in the back side of the wafer - Elymat Electrolytical Metal Tracer [69] - EMP Electron MicroProbe - EPA Electron Probe Analysis - EPMA Electron Probe Micro-Analysis - ERDA Elastic Recoil Detection Analysis - ESCA Electron Spectroscopy for Chemical Analysis (= XPS) - ETV Electro Thermal Vaporization - fab manufacturing process or fabrication line of microchips - FESEM Field Emission Scanning Electron Microscopy - FIB Focused Ion Beam - FIM Field Ion Microscopy - FLAA FlameLess Atomic Absorption - FMEA Failure Mode and Effect Analysis - ()-FTIR (Microspot) Fourier Transform InfraRed Spectroscopy - FTPL Fourier Transform PhotoLuminescence - FZ-Si monocrystalline Si grown by float zone method from a polycrystalline Si rod in an rf-coil - GDMS Glow Discharge Mass Spectrometry - GFA Gas Fusion Analysis (heat extraction of Oi from Si by graphite reduction, [75]) - GF-AAS Graphite Furnace AAS - GLP Good Laboratory Practice (protocol defining general operations) - GMP Good Measurement Practice (protocol defining technique-specific operations) - GOI Gate Oxide Integrity test - Graff test surface precipitation of metallic silicide due to quenching annealed (1100°C) Si wafer, detection by Sirtl etch, cf. TEG haze test [76, 77] - HeD He thermal Desorption spectroscopy - HIBS Heavy Ion Backscattering Spectrometry - HREELS High-Resolution Electron Energy Loss Spectroscopy - HREM High Resolution Electron Microscopy index for interstitials (Oi, Sii etc.) in monocrystalline Si - IC Ion Chromatography - ICISS Impact-Collision Ion-Scattering Spectroscopy - ICP-OES Inductively Coupled Plasma Optical Emission Spectrometry - ICP-MS Inductively Coupled Plasma Mass Spectrometry - IG Intrinsic Gettering, removal of metallic impurities from the device active region and trap them in the bulk using supersaturated Oi in Si and inducing SiOx precipitation by thermal annealing - INNA Instrumental Neutron Activation Analysis [70, 71] - IR InfraRed Spectroscopy - IRAS Infrared Reflection Adsorption Spectroscopy - ISS Ion-Scattering Spectroscopy (cf. RBS) - LAMMA Laser (Ablation) Microprobe Mass Analyzer - LANG scanning Lang x-ray topography - LAR-RBS Lateral and Angle Resolved RBS-Imaging [78] - LEED Low Energy Electron Diffraction - LM-PC (non-contact) Laser/Microwave PhotoConductance - LOD Limit Of Detection (2–3 of noise level, [12]) - LOQ Limit Of Quantification (>6 of noise level, Fig. 2/3, [11, 13] - LPD Ligh Point Defect, sites scattering laser beam on monocrystalline Si surface (particles, pits, dimples, scratches, nm-terrasses, etc.) - LST Laser Scattering Topography - LT-FTIR Low Temperature Fourier Transform InfraRed Spectroscopy - LTV Local Thickness Variation - LPI-SNMS Laser Resonance Ionization SNMS - MAD Mixed Acid Droplet (cf. ADD, VPD, WSSD) - MAKYOH magic mirror optical surface reflection method (Jpn.) - Mb megabit, quantum of digital unit of information, number of memory addresses of a microchip, 4 Mb is about the information capacity of 250 pages/DIN A4 - ME Monochromatic Ellipsometry - MOR Modulated Optical Reflectance - MOS MetalOxide Semiconductor (transistor) - NRA Nuclear Reaction Analysis - NRIS NonResonant Ionization Spectroscopy - OF Orientation Flat to position Si wafers due crystal orientation - OM Optical Microscopy (usually differential interference/phase contrast Nomarski microscopy) - MOS-CAP/TAU Metal Oxide Semiconductor Capacitance/generation lifetime test for minority carrier - OSF hermal Oxidation-induced Stacking Fault in monocrystalline Si [31] - -PCD Microwawe reflection Photo Conductive Decay - PAM PhotoAcoustic Microscopy - PAS Positron Annihilation Spectroscopy - PCMS Plasma Chromatography Mass Spectroscopy - PC-SIMS Polyencapsulation Secondary Ion Mass Spectroscopy - PET Puddle Etch Test (HF/HNO3-dissolution of 10 mm spots on as-sliced, as-lapped, as-etched wafers, [43]) - PFZ Precipitation Free Zone (cf. DZ) - PIXE Particle Induced X-ray Emission - PL PhotoLuminescence - POD Power Of Detection (LOD with real sample matrices, [14]) - 4-PP 4-Point Probe - PSI Phase Shift Interferometry - PSP Protocol for Specific Purposes (defining entire measurement program) - PTF Pause Time Failure can occur between periodic refresh of the stored data (cf. DRAM) - -Raman Raman Microprobe - RBS Rutherford BackScattering (cf. ISS) - RHEED Reflection High Energy Electron Diffraction - RIS Resonant Backscattering Ion Spectroscopy - SALI Surface Analysis by Laser Ionization - SAM Scanning Auger Microprobe/Microscopy - Schimmel etch defect/preferential etching for Si(100) [79] - Secco etch defect/preferential etching for Si(100) [79] - SEM Scanning Electron Microscopy - SERS Surface-Enhanced Raman Spectroscopy - SIMS Secondary Ion Mass Spectroscopy - SIRIS Sputter Initiated Resonant Ionization Spectroscopy - SIRM Scanning InfraRed Microscopy - Sirtl etch defect/preferential etching for Si(111) [79] - SOM-DPC Scanning Opt. Micr. Differential Phase Contrast - SNMS Sputtered Neutral Mass Spectroscopy - SOP Standard Operation Procedure (defining sampling and measurement process) - SPC Statistical Process Control - SPM Scanning Photon Microscope - SPV Surface Photo-Voltage - SRP Spreading Resistance Profiling - SSD Solid State Detector or Device - STM Scanning Tunneling Microscopy - S-ULSI Super-Ultra Scale Integration (Density)=16 Mb - SXES Soft X-ray Emission Spectroscopy - TCS Total Customer Satisfaction, the hotly pursued goal of all vendors of industrial goods - TDS Thermal Desorption Spectroscopy - TDBD Time Dependent Break-Down voltage - TDDB Time Dependent Dielectric Break-down voltage - TPD Temperature-Programmed Desorption - TEG haze test according to Telefunken Electronic Ges (cf. Graff-test) - TEM Transmission Electron Microscopy - TOF-SIMS Time of Flight Secondary Ion Mass Spectroscopy - TQM Total Quality Management - TTV Total Thickness Variation - TXRF Total Reflection X-Ray Fluorescence [80] - TREX Total Reflection Energy Dispersive X-ray fluorescence - ULSI Ultra-Large Scale Integration (Density)=4 Mb - UPS Ultraviolet Photoelectron Spectroscopy - US UltraSonic - VLSI Very Large Scale Integration (Density)=1 Mb - VPD Vapor Phase Decomposition (vapor phase reaction of HF with native Si-oxide and scanning the surface with a UPW or H2O2 solution droplet [38, 53], cf. ADD, MAD, WSSD) - Wright etch defect/preferential etching for Si(100) and Si(111) [79] - WSA Wafer Surface Analysis - WSSD Wafer Surface Scanner Device (automated VPD) - XPS X-ray Photoelectron Spectroscopy - XRD X-ray Diffraction - XRF X-ray Fluorescence - XRT X-ray Topography - XTEM X-ray Transmission Electron Microscopy  相似文献   
42.
Baret M  Massart DL  Fabry P  Menardo C  Conesa F 《Talanta》1999,50(3):541-558
The calibration of several ions (Cl(-), Br(-), F(-) and OH(-)) measured with an ion selective electrodes (ISE) array has been carried out in the presence of interferents using an experimental design and multivariate calibration methods. Partial least squares regression and principal component regression do not seem to improve the test set prediction compared to multivariate linear regression. In the case of very slight or no interference on the ISE, each ion can be determined using the corresponding ISE and univariate calibration methods, but the use of multivariate methods does not lead to worse results.  相似文献   
43.
TXRF has been applied in combination with VPD to the analysis of trace impurities in the native oxide layer of Si wafer surfaces down to the range of 108 atoms · cm–2. Proper quantification of VPD/TXRF data requires calibration with microdroplet standard reference wafers. The precision of calibration function has been evaluated and found to allow quantification at a high level of 3 confidence with microdroplet standard reference.  相似文献   
44.
Protein adsorption at polymer surfaces has been investigated by means of both ELISA and radiolabeling techniques. Most of the data obtained are linearly related to each other for protein concentrations between 0.01 and 1 μg/ml, i.e., the concentration range in which the maximum amount of adsorbed active protein (ELISA) is achieved. The correlation of ELISA data with radioisotope-based measurements allows quantification of the former. Specific correlation factors are described. Adsorption is shown to be strongly dependent on the polymer/protein system.  相似文献   
45.
Synchrotron radiation induced TXRF allows the nondestructive investigation of low Z contaminations on Si wafer surfaces at trace levels required by the semiconductor industry. The PTB (Physikalisch Technische Bundesanstalt) U180 undulator beamline at BESSY II, equipped with a plane grating monochromator ensuring an energy resolving power EE between 500 and 5000, can be operated either in wiggler mode for photon energies up to 1.7 keV to excite Al, Mg and Na efficiently, or in undulator mode, i.e. using one of the first odd U180 harmonics, to obtain intensive low energy radiation below 0.7 keV to excite carbon, nitrogen and oxygen. The specific feature of the beamline is its high spectral purity that allows for fundamental investigations. The TXRF wafer chamber of the Atominstitut was used for the experiments with a sidelooking Si(Li) detector with the wafer arranged vertically to take advantage of the linear polarization for background reduction. The energy dependence of the resonant Raman scattering, which is a limiter for the determination of Al at ultra trace levels excited with energies just below the Si absorption edge was studied as well as the influence of the incidence angle on the Raman peak. Droplet samples containing boron were measured and the detection limit of 3 ng determined. A single Carbon layer (5 nm) and a C–Ni–C multilayer sample on a Si wafer were characterized and it was shown that the thickness and density of these layers could be determined.  相似文献   
46.
Arc behavior in 3-Phase AC plasma technology remains poorly explored. This system noticeably differs from the classical DC plasma torches and aims to overcome certain limitations, such as efficiency, equipment cost and reliability. A MHD model of a 3-Phase AC plasma torch was recently developed at Mines-ParisTech. The model does not include the electrodes in the computational domain. In parallel, experiments were conducted using a high-speed video camera shooting 100,000 frames per second. In this paper, the comparison between MHD modeling and experimental results shows that the arc behavior is in line with the results from the MHD model. Particularly, the strong influences of both the electrode jets and Lorentz forces on the arc motion are confirmed. However, some differences between experimental and numerical electrical waveforms are observed and particularly in the current–voltage phase shift. A new model was then developed by integrating the electrodes into the computational domain and adjusting the electrode tip geometry. With this simulation, we were able to reproduce the phase shift, power and voltage values with a good accuracy showing the strong influence of electrode tip geometry on the 3-Phase arc plasma discharge.  相似文献   
47.
We study the boundedness problem for a class of forced isochronous oscillators.  相似文献   
48.
We have measured the gettering efficiencies for Cu and Ni in p/p-Si epitaxial wafers. The wafers were pretreated to obtain oxygen precipitates of different sizes and densities in the bulk. Gettering tests started with a reproducible spin-on spiking in the range of 1012 atoms/cm2, followed by thermal treatment to drive-in and redistribute the impurities in the wafer. Subsequently, the wafers were analyzed by a novel stratigraphical layer-by-layer etching technique in combination with inductively coupled plasma mass spectrometry. Gettering efficiencies for Ni did not depend on oxygen precipitate sizes and densities as long as ΔOi was larger than 0.2×1017 atoms/cm3 and the bulk micro defect densities were detectable by preferential etching (107 cm-3). In these cases, gettering efficiencies were 96–99% for Ni, while wafers not containing any measurable BMDs exhibited no detectable gettering. Cu exhibited a more complex behavior because the total Cu contamination was found to be divided into two species, one mobile and the other immobile species. A dependence on BMD size and BMD density of the Cu distributions in the wafers was also detected. Gettering effects were increased with increasing BMD densities and sizes. For BMD densities <109 cm-3, Cu was not efficiently gettered by oxygen precipitates. Even for BMD densities >1010 cm-3, gettering effects due to oxygen precipitates were one order of magnitude lower than in heavily boron-doped silicon. Received: 19 January 2001 / Accepted: 31 January 2001 / Published online: 20 June 2001  相似文献   
49.
50.
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号