首页 | 本学科首页   官方微博 | 高级检索  
文章检索
  按 检索   检索词:      
出版年份:   被引次数:   他引次数: 提示:输入*表示无穷大
  收费全文   15篇
  免费   0篇
无线电   15篇
  2012年   3篇
  2011年   1篇
  2010年   6篇
  2009年   3篇
  2008年   1篇
  2003年   1篇
排序方式: 共有15条查询结果,搜索用时 524 毫秒
1.
基于Gennum的助听器开发平台,完成了基于该平台的频域助听器系统设计,包括GA3280芯片介绍、开发板硬件资源分析、软件开发流程、频域助听器算法实现。通过简易的测试,设计的频域算法能实现助听效果。为开发基于GA3280芯片的助听器提供了软硬件设计参考。  相似文献   
2.
翁海波  梁维谦 《电声技术》2010,34(6):69-71,76
结合基于递归平均的噪声估计算法和动态范围压扩算法,提出了一种动态调整噪声抑制临界点的动态范围压扩算法。通过对噪声水平的动态估计,实时调整噪声抑制阈值,在进行听力补偿的同时进一步提高信噪比,避免了动态范围压扩算法在放大语音的同时,噪声也被放大的问题。试验结果表明,该方法在4种不同的噪声环境下,进行听力补偿之后,相对于典型的动态范围压扩算法,信噪比平均提高约9.7dB。  相似文献   
3.
基于线性网络的孤立词识别系统识别时间与词表规模成正比,识别性能严重受限于词表的规模.根据汉语孤立词特点,提出了一种基于连续识别的大词表孤立词识别系统.该系统围绕嵌入式识别所关注的速度和内存消耗性能,采用先实现应用多级搜索、定点化策略的连续识别,再对连续识别的识别结果进行音字转换处理的方法,将连续语音识别应用于大词表孤立...  相似文献   
4.
复杂噪声环境下的语音端点检测系统   总被引:1,自引:0,他引:1  
张皓  梁维谦 《电声技术》2010,34(7):52-55
提出了一套完整的复杂噪声环境下非实时语音信号端点检测系统。首先进行基于样本幅度的预分类处理,然后使用门限过零率算法处理整体幅度很小的样本;整体幅度较大的样本使用引入了自适应噪声估计策略和段落包络幅度特征的改进算法。实际测试表明,在复杂噪声环境下此系统正确率达90.7%,可满足实际系统需求。  相似文献   
5.
天淼  梁维谦  陈卓 《电声技术》2010,34(7):56-58,61
实现了基于低功耗Hi—Fi音频处理芯片Belasigna300和具有USB2.0控制器的微控制器STM32F103的语音采集处理系统。讨论了传声器阵列信号的采集、传输、片上处理及存储。系统体积小,音质好,功耗低。  相似文献   
6.
董保帅  梁维谦  何艳辉  蒋毅 《电声技术》2012,36(10):45-48,52
介绍了一种基于近距离放置的双传声器波束形成和双通道能量差掩蔽相结合的语音增强算法.该算法简单,适合在DSP上实时实现,对特定方向上的语音具有很好的增强效果,能够应用于多种噪声环境.实验表明,即使在信噪比较低的情况下,该算法也有良好的表现.  相似文献   
7.
提出了2种方法实现了基于HMM的语音合成系统的模型压缩。首先通过基于地址的模型压缩进行合理的内存管理,删除冗余信息。然后通过降低语音谱参数的维数,在尽量不损失语音质量的条件下压缩模型数据。试验表明,通过以上2种方法,模型大小从293Mbyte压缩到6.98Mbyte,压缩幅度达到97.6%。同时,内存消耗及处理时间也能满足实时嵌入式应用的要求,主观评价显示合成的语音质量较高。  相似文献   
8.
吴延年  梁维谦 《电声技术》2009,33(11):64-67
基于HMM后验概率分数的自动发音评测方法中,强制匹配获得语音的音素级切分信息是重要的一步。切分结果是否准确直接影响到后验概率分数的可靠性。通过对单词发音网络增加可跨越分支,实现单删除错误检测功能,可降低说话人删除错误对前后单词切分准确性的影响。实验结果显示,单词删除错误检出率达到87.8%。  相似文献   
9.
低功耗微型三传声器阵列语音采集系统设计   总被引:1,自引:1,他引:0  
基于低功耗单片机MSP430F427和USB2.0控制器CY7C68013,开发了一种面向三传声器阵列的语音数据采集系统.讨论了如何实现3路语音数据的同步采集、存储,以及USB2.0数据回传及后处理等问题.本系统具有体积小、功耗低、可扩展性好的特点.  相似文献   
10.
耿慧  梁维谦  董明  刘润生 《电声技术》2009,33(10):32-34,38
基于Actel CoreMP7低成本SoC开发验证平台,完成了以ARM7为核心控制器、马氏距离计算专用电路(MSAC)为协处理器的语音识别SoC的设计与验证。实验结果表明,该SoC系统在Actel ProASIC系列FPGA M7A3P1000上综合实现后,约占用M7A3P1000总资源的39.18%及1KB片内SRAM,完成整个语音识别算法性能比S3C44BOx(ARM7)平台上定点C程序提高了49.78%,充分证明了CoreMP7平台用于SoC设计的可行性和便捷性,以及主处理器配合硬件加速协处理器架构在信号处理领域的优势。  相似文献   
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号