首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
用深能级瞬态谱(DLTS)技术详细研究了金在p型<111>晶向硅MOS结构Si/SiO2界面区中的行为。结果表明,金与Si/SiO2界面缺陷Hit(0.494)相互作用形成新的缺陷Au-Hit(0.445),和金在硅的禁带中产生一个能量分布很广的连续界面态,利用这些界面态可以合理地解释金使硅MOS结构平带电压向正方向移动的物理机制。结果还表明,在Si/SiO2界面附近的半导体中,金施主中心的剖面分 关键词:  相似文献   

2.
The defects at the Si/SiO2 interface have been studied by the deep-level transient spectroscopy (DLTS) technique in p-type MOS structures with and without gold diffusion. The experimental results show that the interaction of gold and Si/SiO2 interface defect,Hit(0.494), results in the formation of a new interface de-fect, Au-Hit(0.445). Just like the interface defect, Hit(0.494), the new interface defect possesses a few interesting properties, for example, when the gate voltage applied across the MOS structure reduces the energy interval between Fermi-level and Si valence band of the Si surface to values smaller than the hole ionization Gibbs free energy of the defect, a sharp DLTS peak is still observable; and the hole apparent activation energy increases with the decrease of the Si surface potential barrier height. These properties can be successfully explained with the transition energy band model of the Si/SiO2 interface.  相似文献   

3.
p型硅MOS结构Si/SiO2界面及其附近的深能级与界面态   总被引:1,自引:0,他引:1       下载免费PDF全文
陈开茅  武兰青  彭清智  刘鸿飞 《物理学报》1992,41(11):1870-1879
用深能级瞬态谱(DLTS)技术系统研究了Si/SiO2界面附近的深能级和界面态。结果表明,在热氧化形成的Si/SiO2界面及其附近经常存在一个浓度很高的深能级,它具有若干有趣的特殊性质,例如它的DLTS峰高度强烈地依赖于温度,以及当栅偏压使费密能级与界面处硅价带顶的距离明显小于深能级与价带顶的距离时,仍然可以观测到一个很强的DLTS峰。另外,用最新方法测量的Si/SiO2界面连续态的空穴俘获截面与温度有关,而与能量位置无明显关系,DLTS测 关键词:  相似文献   

4.
Silicon is by far the most important material used in microelectronics, partly due to the excellent electronic properties of its native oxide (SiO2), but substitute semiconductors are constantly the matter of research. SiC is one of the most promising candidates, also because of the formation of SiO2 as native oxide. However, the SiO2/SiC interface has very poor electrical properties due to a very high density of interface states which reduce its functionality in MIS devices. We have studied the electronic properties of defects in the SiO2/Si and SiO2/SiC interfaces by means of XAS, XPS and resonant photoemission at the O 1s and the Si 2p edges, using silicon dioxide thermally grown with thicknesses below 10 nm. Our XAS data are in perfect agreement with literature; in addition, resonant photoemission reveals the resonant contributions of the individual valence states. For the main peaks in the valence band we find accordance between the resonant behaviour and the absorption spectra, except for the peaks at −15 eV binding energy, whose resonant photoemission spectra have extra features. One of them is present in both interfaces and is due to similar defects, while another one at lower photon energy is present only for the SiO2/SiC interface. This is related to a defect state which is not present at the SiO2/Si interface.  相似文献   

5.
A theoretical model is proposed on how a Si dangling bond associated with an oxygen vacancy on a SiO2 surface (Es′ center) should be observed by Auger electron spectroscopy (AES). The Auger electron distribution NA(E) for the L23VV transition band is calculated for a stoichiometric SiO2 surface, and for a SiOx surface containing Si-(e?O3) coordinations. The latter is characterized by an additional L23VD transition band, where D is the energy level of the unpaired electron e?. The theoretical NA(E) spectra are compared with experimental N(E) spectra for a pristine, and for an electron radiation damaged quartz surface. Agreement with the theoretical model is obtained if D is assumed to lie ≈2 eV below the conduction band edge. This result shows that AES is uniquely useful in revealing the absolute energy level of localized, occupied surface defect states. As the L23VD transition band (main peak at 86 eV) cannot unambiguously be distinguished from a SiSi4 coordination L23VV spectrum (main peak at 88 eV), supporting evidence is presented as to why we exclude a SiSi4 coordination for our particular experimental example. Application of the Si-(e?O3) model to the interpretation of SiO2Si interface Auger spectra is also discussed.  相似文献   

6.
The flat band voltage shifts of HfO2/SiO2/nSi capacitors with ultra-thin La2O3 insertion at HfO2/SiO2 interface have been confirmed using hard X-ray photoelectron spectroscopy (HX-PES). By increasing the amount of La2O3 insertion, the binding energy of Si 1s core spectra increases, which means that the surface potential of Si substrate also increases. A voltage drop difference of HfO2 and La2O3 at SiO2 interface can be estimated to be 0.40 V.  相似文献   

7.
This paper describes the effect of ionizing radiation on the interface properties of Al/Ta2O5/Si metal oxide semiconductor (MOS) capacitors using capacitance–voltage (CV) and current–voltage (IV) characteristics. The devices were irradiated with X-rays at different doses ranging from 100?rad to 1?Mrad. The leakage behavior, which is an important parameter for memory applications of Al/Ta2O5/Si MOS capacitors, along with interface properties such as effective oxide charges and interface trap density with and without irradiation has been investigated. Lower accumulation capacitance and shift in flat band voltage toward negative value were observed in annealed devices after exposure to radiation. The increase in interfacial oxide layer thickness after irradiation was confirmed by Rutherford Back Scattering measurement. The effect of post-deposition annealing on the electrical behavior of Ta2O5 MOS capacitors was also investigated. Improved electrical and interface properties were obtained for samples deposited in N2 ambient. The density of interface trap states (Dit) at Ta2O5/Si interface sputtered in pure argon ambient was higher compared to samples reactively sputtered in nitrogen-containing plasma. Our results show that reactive sputtering in nitrogen-containing plasma is a promising approach to improve the radiation hardness of Ta2O5/Si MOS devices.  相似文献   

8.
Band bending and band alignment at HfO2/SiO2/Si and HfO2/Hf/SiO2/Si interfaces were investigated using X-ray photoelectron spectroscopy. After Hf-metal pre-deposition, a 0.55 eV band bending in Si and a 1.80 eV binding energy decrease for Hf 4f and O 1s of HfO2 were observed. This was attributed to the introduction of negative space charges at interface by Hf pre-deposition. Band bending decrease and synchronous binding energy increases of O 1s and Hf 4f for HfO2 were observed during initial Ar+ sputtering of the Hf pre-deposited sample. This was interpreted through the neutralization of negative space charges by sputtering-induced oxygen vacancies.  相似文献   

9.
The electrical properties of Si nanowires covered by a SiO2 shell are influenced by the properties of the Si/SiO2 interface. This interface can be characterized by the fixed oxide charge density Qf and the interface trap level density Dit. We derive expressions for the effective charge carrier density in silicon nanowires as a function of Qf, Dit, the nanowire radius, and the dopant density. It is found that a nanowire is fully depleted when its radius is smaller than a critical radius acrit. An analytic expression for acrit is derived. PACS 68.65.-k; 61.46.+w; 81.10.Bk  相似文献   

10.
Cyanide treatment, which includes the immersion of Si in KCN solutions followed by a rinse, effectively passivates interface states at Si/SiO2 interfaces by the reaction of CN ions with interface states to form Si-CN bonds. X-ray photoelectron spectroscopy (XPS) measurements show that the concentration of the CN species in the surface region after the cyanide treatment is ∼0.25 at.%. Take-off angle-dependent measurements of the XPS spectra indicate that the concentration of the CN species increases with the depth from the Si/SiO2 interface at least up to ∼2 nm when ultrathin SiO2 layers are formed at 450 °C after the cyanide treatment. When the cyanide treatment is applied to metal-oxide-semiconductor (MOS) solar cells with 〈ITO/SiO2/n-Si〉 structure, the photovoltage greatly increases, leading to a high conversion efficiency of 16.2% in spite of the simple cell structure with no pn-junction. Si-CN bonds are not ruptured by air mass 1.5 100 mW cm−2 irradiation for 1000 h, and consequently the solar cells show no degradation. Neither are Si-CN bonds broken by heat treatment at 800 °C performed after the cyanide treatment. The thermal and irradiation stability of the cyanide treatment is attributable to strong Si-CN bonds, whose bond energy is calculated to be 1 eV higher than that of the Si-H bond energy using a density functional method.  相似文献   

11.
Luminescent nanocrystalline Si dots were fabricated directly on thermally grown SiO2 at 120°C by conventional RF plasma-enhanced chemical vapor deposition using tetrachlorosilane, SiCl4 and H2. As-deposited Si dot exhibits photoluminescence (PL) in the visible region, consisting of two broad bands corresponding to photon energies of 1.38 and 1.48 eV. Storage in air enhances PL and shifts the PL peak energy to higher wavelengths for dots of diameter less than 10 nm. Fourier transform attenuated total reflection absorption spectroscopy (FTIR-ATR) study reveals that the spontaneous oxidation proceeds until saturation after 70 h at dot sizes of 3–5 nm. The relationship between PL intensity, blueshift of PL peak energy, and surface termination species during oxidation indicates that these changes are attributed to the increased density of radiative centers at the Si nanocrystal dot/SiO2 interface and enhancement of the quantum confinement effect.  相似文献   

12.
The HF treatment removes the native oxide and lays behind the dangling bonds over the Si surface which causes the increment in density of interface traps (Dit) through the direct deposition of high-k dielectric on Si. Here, we propose the facile method for reduction of interface traps and improvement in barrier height with the (NH4)2S treatment on Al2O3/Si interfaces, which can be used as the base for the non-volatile memory device. The AFM was used to optimize the treatment time and surface properties, while XPS measurements were carried out to study the interface and extract the barrier height (ΦB). The short period of 20 s treatment shows the improvement in the barrier height (1.02 eV), while the one order reduction in the Dit (0.84 × 1012 cm2/eV) of sulfur passivated Al/Al2O3/Si MOS device. The results indicate the favorable passivation of the dangling bonds over the Si surfaces covered by sulfur atoms.  相似文献   

13.
Using first-principles calculations we investigate the influence of interface modification and layer thicknesses on the optical properties of Si/SiO2 superlattices. Four interface models with different dangling-bond passivation are considered. The results demonstrate confinement effects not only for the fundamental band gaps but also for the optical properties. While for a large Si layer thickness of the Si/SiO2 superlattices the interface dependence is small, the calculations show a significant structure dependence for thin Si layers. © 2007 Elsevier Science. All rights reserved.  相似文献   

14.
The properties of ultra-thin oxide/Si and very-thin oxide/Si structures prepared by wet chemical oxidation in nitric acid aqueous solutions (NAOS) and passivated in HCN aqueous solutions were investigated by electrical, optical and structural methods. n- and p-doped (1 0 0) crystalline Si substrates were used. There were identified more types of interface defect states in dependence on both post-oxidation treatment and passivation procedure. On samples prepared on n-type Si, continuous spectrum of defect states of 0.05-0.2 eV range and discrete defect traps, ∼ECB − 0.26 eV and ∼ECB − 0.39 eV, were found. All mentioned defects are related with various types of Si dangling bonds and/or with SiOx precipitates. Post-metallization annealing of investigated MOS structures reduced the interface defect density and suppressed the leakage currents. It did not change spectral profile of interface defect states in the Si band gap. In addition, there are presented following two optical phenomena: relation between amplitude of photoluminescence signal of NAOS samples and parameters of chemical oxidation process and quantum confinement effect observed on samples containing Si grains of size less as ∼2 nm.  相似文献   

15.
The physical and chemical properties of the HfO2/SiO2/Si stack have been analyzed using cross-section HR TEM, XPS, IR-spectroscopy and ellipsometry. HfO2 films were deposited by the MO CVD method using as precursors the tetrakis 2,2,6,6 tetramethyl-3,5 heptanedionate hafnium—Hf(dpm)4 and dicyclopentadienil-hafnium-bis-diethylamide—Сp2Hf(N(C2H5)2)2.The amorphous interface layer (IL) between HfO2 and silicon native oxide has been observed by the HRTEM method. The interface layer comprises hafnium silicate with a smooth varying of chemical composition through the IL thickness. The interface layer formation occurs both during HfO2 synthesis, and at the annealing of the HfO2/SiO2/Si stack. It was concluded from the XPS, and the IR-spectroscopy that the hafnium silicate formation occurs via a solid-state reaction at the HfO2/SiO2 interface, and its chemical structure depends on the thickness of the SiO2 underlayer.  相似文献   

16.
卢江  吴自勤 《物理学报》1989,38(6):981-986
本文用横截面电子显微镜法分析了Si-W/Si/SiO2/Si(100)在440—1000℃退火后的晶化过程,以及各个界面的变化情况.发现Si-W合金膜中,WSi2并未优先在表面、界面处形成晶核.当退火温度不高于700℃时,反应在合金膜内发生,表面、界面起伏和缓.退火温度高达800—1000℃时,界面、表面出现原子扩散,造成剧烈的界面起伏;表面则出现小的热沟槽,Si/SiO2界面也出现高分辨电子显微镜才能观察到的起伏.表面、界面的原子迁移的动力来源于晶界与表面、界面张力.由于SiO2中Si—O键很稳定,不易发生Si和O在界面处的互扩散,所以Si/SiO2界面起伏很小. 关键词:  相似文献   

17.
In this work, the influence of Si/SiO2 interface properties, interface nitridation and remote-plasma-assisted oxidation (RPAO) thickness (<1 nm), on electrical performance and TDDB characteristics of sub-2 nm stacked oxide/nitride gate dielectrics has been investigated using a constant voltage stress (CVS). It is demonstrated that interfacial plasma nitridation improves the breakdown and electrical characteristics. In the case of PMOSFETs stressed in accumulation, interface nitridation suppresses the hole traps at the Si/SiO2 interface evidenced by less negative Vt shifts. Interface nitridation also retards hole tunneling between the gate and drain, resulting in reduced off-state drain leakage. In addition, the RPAO thickness of stacked gate dielectrics shows a profound effect in device performance and TDDB reliability. Also, it is demonstrated that TDDB characteristics are improved for both PMOS and NMOS devices with the 0.6 nm-RPAO layer using Weibull analysis. The maximum operating voltage is projected to be improved by 0.3 V difference for a 10-year lifetime. However, physical breakdown mechanism and effective defect radius during stress appear to be independent of RPAO thickness from the observation of the Weibull slopes. A correlation between trap generation and dielectric thickness changes based on the C-V distortion and oxide thinning model is presented to clarify the trapping behavior in the RPAO and bulk nitride layer during CVS stress.  相似文献   

18.
Spectroscopic and electrical properties of ultrathin silicon dioxide (SiO2) layers formed with nitric acid have been investigated. The leakage current density of the as-grown SiO2 layers of 1.3 nm thickness is high. The leakage current density is greatly decreased by post-oxidation annealing (POA) treatment at 900 °C in nitrogen, and consequently it becomes lower than those for thermally grown SiO2 layers with the same thickness. X-ray photoelectron spectroscopy measurements show that high density suboxide species are present before POA and they are markedly decreased by POA. Fourier transformed infrared absorption measurements show that water and silanol group are present in the SiO2 layers before POA but they are removed almost completely by POA above 800 °C. The atomic density of the as-grown chemical SiO2 layers is 4% lower than that of bulk SiO2 layers, while it becomes 12% higher after POA. It is concluded that the high atomic density results from the desorption of water and OH species, and oxidation of the suboxide species, both resulting in the formation of SiO2. The valence band discontinuity energy at the Si/SiO2 interface increases from 4.1 to 4.6 eV by POA at 900 °C. The high atomic density enlarges the SiO2 band-gap energy, resulting in the increase in the band discontinuity energy. The decrease in the leakage current density by POA is attributed to (i) a reduction in the tunneling probability of charge carriers through SiO2 by the enlargement of the band discontinuity energy, (ii) elimination of trap states in SiO2, and (iii) elimination of interface states.  相似文献   

19.
X-ray photoelectron spectroscopy (XPS) measurements under bias can observe low density interface states for metal-oxide-semiconductor (MOS) diodes with low densities. This method can give energy distribution of interface states for ultrathin insulating layers for which electrical measurements cannot be performed due to a high density leakage current. During the XPS measurements, a bias voltage is applied to the rear semiconductor surface with respect to the ∼3 nm-thick front platinum layer connected to the ground, and the bias voltage changes the occupation of interface states. Charges accumulated in the interface states shift semiconductor core levels at the interface, and thus the analysis of the bias-induced shifts of the semiconductor core levels measured as a function of the bias voltage gives energy distribution of interface states. In the case of Si-based MOS diodes, the energy distribution and density of interface states strongly depend on the atomic density of silicon dioxide (SiO2) layers and the interfacial roughness, respectively. All the observed interface state spectra possess peaked-structures, indicating that they are due to defect states. An interface state peak near the Si midgap is attributable to isolated Si dangling bonds at the interface, while those above and below the midgap to Si dangling bonds interacting weakly with Si or oxygen atoms in the SiO2 layers. A method of the elimination of interface states and defect states in Si using cyanide solutions has been developed. The cyanide method simply involves the immersion of Si in KCN solutions. Due to the high Si-CN bond energy of ∼4.5 eV, the bonds are not ruptured at 800 °C and upon irradiation. The cyanide treatment results in the improvement of the electrical characteristics of MOS diodes and solar cells.  相似文献   

20.
陆昉  龚大卫  孙恒慧 《物理学报》1994,43(7):1129-1136
对同质硅分子束外延层的界面缺陷进行了测试与分析.对存在高浓度施主型界面缺陷的P型材料,通过解泊松方程计算了该材料的肖特基势垒的能带图,得到了该缺陷能级上电子的填充与发射随外加反向偏压变化的情况.并分析了用深能级瞬态谱(DLTS)对其进行测试所需的条件,以及与常规的DLTS测试结果的不同之处.提出了可同时对该缺陷上电子的发射和俘获过程进行DLTS测量的方法.实验测量结果表明,该高密度的界面缺陷的能级位置位于Ec-0.30eV. 关键词:  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号