首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
We report on the fabrication and characterization of photonic band-gaps structures by nanoimprint lithography in a dye-doped polymer. Photonic band calculations show that photonic crystal slabs composed of a triangular array of polymer pillars could exhibit photonic band-gaps for the magnetic-like modes. The resulting structures show that the nanoimprint lithography process is well-suited to fabricate in a single-step process, these challenging photonic structures opening perspectives to realize integrated photonic band-gap circuits.  相似文献   

2.
Two types of optical metamaterials operating at near-IR and mid-IR frequencies, respectively, have been designed, fabricated by nanoimprint lithography (NIL), and characterized by laser spectroscopic ellipsometry. The structure for the near-IR range was a metal/dielectric/metal stack “fishnet” structure that demonstrated negative permittivity and permeability in the same frequency region and hence exhibited a negative refractive index at a wavelength near 1.7 μm. In the mid-IR range, the metamaterial was an ordered array of fourfold symmetric L-shaped resonators (LSRs) that showed both a dipole plasmon resonance resulting in negative permittivity and a magnetic resonance with negative permeability near wavelengths of 3.7 μm and 5.25 μm, respectively. The optical properties of both metamaterials are in agreement with theoretical predictions. This work demonstrates the feasibility of designing various optical negative-index metamaterials and fabricating them using the nanoimprint lithography as a low-cost, high-throughput fabrication approach. PACS 42.25.Bs; 81.16.Nd; 42.70.-a; 81.07.-b  相似文献   

3.
We have utilized a modified version of thermal nanoimprint lithography to fabricate a rewritable, nonvolatile, molecular memory device with a density of 6.4 Gbit/cm2. It has the advantages of a relatively low operating temperature of (70 °C) and pressure of (<500 psi or 4.5 MPa), both of which are critical to preserving the integrity of the molecular layer. The architecture of the circuit was based on an 8×8 crossbar structure, with an active molecular layer sandwiched between the top and bottom electrodes. A liftoff process was utilized to produce the top and bottom electrodes made of Pt/Ti bilayers. The active molecular layer was deposited by the Languir–Blodgett technique. We utilized a new class of nanoimprint resist formulated by dissolving a polymer in its monomer. The formulation we used, was poly(benzyl methacrylate), dissolved in benzyl methacrylate with t-butyl peroxy 2-ethylhexanoate added as a self-initiator (8:90:2 by weight). The new resist allowed us to achieve Pt/Ti lines of 40 nm in width and 130 nm in pitch. PACS 86.65.+h; 81.16.Nd; 81.16.Rf  相似文献   

4.
Micromagnetic and macromagnetic switching properties of cobalt bars with six different aspect ratios produced by electrodeposition of cobalt into patterns defined by nanoimprint lithography were studied. It was found that microbars in the demagnetized state formed configurations with closed magnetic flux. Magnetization along the long axis of the microbars resulted in a single-domain state of magnetization for microbars of all aspect ratios. In the remanent state, magnetization in microbars with smaller aspect ratios resumed the vortex configuration. Individual remanent curves, as well as the net remanent curve, were extracted from magnetic force microscopy images for microbars with all aspect ratios, and their agreement with the macroscopic magnetization measurements is discussed.  相似文献   

5.
The microtag concept is an anticounterfeiting and security measure. Microtags are computer-generated holograms (CGH's) consisting of 150-nm lines arranged to form 300-nm-period gratings. The microtags that we describe were designed for readout at 442nm . The smallest microtag measures 56micromx80 microm when viewed at normal incidence. The CGH design process uses a modified iterative Fourier-transform algorithm to create either phase-only or phase-and-amplitude microtags. We also report on a simple and compact readout system for recording the diffraction pattern formed by a microtag. The measured diffraction patterns agree very well with predictions.  相似文献   

6.
彭静  徐智谋  吴小峰  孙堂友 《物理学报》2013,62(3):36104-036104
利用表面光子晶体能大幅提高发光二极管(LED)的外量子效率, 但如何制备大面积的纳米光子晶体是该研究方向的主要难点之一. 本文基于纳米压印技术在氮化镓基发光二极管(GaN-LED)表面制作孔状二维光子晶体. 通过以金属和聚合物双层掩膜干法刻蚀法, 得到了很好的光子晶体图形转移效果. 最终在LED的p-GaN层表面获得了大面积光子晶体, 周期为450 nm, 纳米孔直径为240 nm. 器件测试结果显示, 有表面光子晶体的LED比没有光子晶体的LED, 光致发光强度峰值提高到了7.2倍.  相似文献   

7.
陆乃彦  翁雨燕 《物理学报》2014,63(22):228104-228104
纳米压印模板通常需要经过电子束光刻、电子束沉积、光刻胶剥离、反应离子刻蚀等一系列复杂工艺获得,这使得纳米压印模板的制作难度大,成本高. 寻找一种灵活简单的纳米压印模板制备方法以提升纳米压印模板的制作效率,是广泛应用纳米压印技术的研究重点和难点. 本文以写好光栅结构的电子束光刻胶层为母模板,获得聚二甲基硅氧烷软模板,并以此为模板对共轭高分子聚(9,9-二辛基)芴薄膜进行纳米压印,实现光栅结构转移,成功制备出纳米光栅结构的共轭高分子薄膜. 偏振吸收谱和透射电镜结果表明,纳米压印实现图案转移的同时,还可以将共轭高分子的主链控制在光栅条纹方向,这对有机发光器件性能的提升具有重要的意义. 研究结果还表明,应用该方法同样可以对聚(9,9-二辛基芴共苯并噻二唑)薄膜进行光栅图案化,同时实现其取向控制. 关键词: 纳米压印 软模板共轭高分子 分子链取向  相似文献   

8.
Takeda  Minoru  Takahara  Ryo  Hasuike  Noriyuki 《Optical Review》2020,27(5):427-431
Optical Review - Plasmonic nanostructures or metasurfaces have recently been actively researched for structural color generation. Controlling the plasmonic resonant wavelengths of surface plasmon...  相似文献   

9.
王霞  谭永炎 《物理学报》2006,55(10):5398-5402
报道了用激光全息刻写技术结合感光聚合材料体系制作介观尺度二维准周期结构(准晶). 并在前期工作的基础上,研究了不同曝光量对二维空气柱孔径的影响,不同偏振对准晶结构花样的影响. 实验结果显示,可以制作的二维准晶的空气柱孔径可达100nm,而且结构均匀,无缺陷面积大. 用此实验系统可以制作多种花样二维甚至是三维准晶结构. 目前,除激光全息刻写技术外,用其他传统精密机械加工技术来人工制作介观准晶体尚存在很大挑战性. 关键词: 准晶结构 光子晶体 激光全息技术  相似文献   

10.
A fundamental limitation in the recent development of non-volatile ferroelectric memories of 64-Mbit to 4-Gbit densities was found to be the problem to scale ferroelectric capacitor cell sizes down below 1 7m2. In this paper we report on the preparation of ferroelectric memory cells with lateral sizes down to 100 nm using electron-beam direct writing. Switching of single 100-nm cells was achieved and piezoelectric hysteresis loops were recorded using a scanning force microscope working in piezoresponse mode. The piezoelectricity and its hysteresis acquired for 100-nm PZT cells demonstrate that a further decrease in lateral size under 100 nm appears to be possible and that the size effects do not fundamentally limit the increase of the density of non-volatile ferroelectric memories in the Gbit range.  相似文献   

11.
We present a replication process, named reversal soft ultraviolet (UV) nanoimprint, to fabricate a high- aspect-ratio flexible subwavelength grating (SWG) on a polyurethane acrylate (PUA). This nanopatterning technique consists of casting, reversal UV imprint, and dry release. The UV curing process of PUA to avoid pattern collapse is investigated. Revalpha film acts as the supporting and sacrificial layer during the whole process due to its special surface energy property. The free-standing PUA structures with a period of 200 nm and a depth of 350 nm can be automatically released from the Revalpha film by heating. The PUA resist is well suited to replicate fine patterns of the mold with high aspect ratio and large area precisely and uniformly for low surface energy and low viscosity. The measured transmittance is compared with the calculation results based on rigorous coupled-wave analysis in the wavelength region ranging from 500 to 800 nm. The experimental results agree well with the theoretical calculations.  相似文献   

12.
Soft-stamped nanoimprint lithography(NIL) is considered as one of the most effective processes of nanoscale patterning because of its low cost and high throughput. In this work, this method is used to emboss the poly(9, 9-dioctylfluorene)film. By reducing the linewidth of the nanogratings on the stamp, the orientations of nanocrystals are confined along the grating vector in the nanoimprint process, where the confinement linewidth is comparable to the geometrical size of the nanocrystal. When the linewidth is about 400 nm, the poly(9, 9-dioctylfluorene)(PFO) nanocrystals could be orderly arranged in the nanogratings, so that both pattern transfer and well-aligned nanocrystal arrangement could be achieved in a single step by the soft-stamped NIL. The relevant mechanism of the nanocrystalline alignment in these nanogratings is fully discussed. The modulation of nanocrystal alignment is of benefit to the charge mobilities and other performances of PFO-based devices for the future applications.  相似文献   

13.
A Lohmann-type computer-generated hologram (CGH) is fabricated using an electron-beam lithographic system. A high-resolution groove width of 0.2 μm is attained in relief gratings by changing the e-beam exposures. A diffraction efficiency close to ∼30.4% is obtained by using resist-on-silicon recording materials and cell-structural apertures in a CGH. The reconstructed images exhibit fewer phase noises owing to the incorporation of a non-overflow cell structure into a CGH. The CGH is designed for reconstruction-noise reduction by using an iterative error-reduction algorithm. The designed CGH exhibits fewer reconstruction noises such that the performance function in the convergence is smaller by a factor of 1/3 than that in the first iteration. Experiments demonstrating the performance of CGHs obtained by electron-beam lithography are presented.  相似文献   

14.
Hasuike  Noriyuki  Maeda  Takeshi  Takeda  Minoru 《Optical Review》2022,29(5):450-455
Optical Review - Indium tin oxide (ITO) diffraction grating was fabricated on a polyimide (PI) film by using a combination of thermal nanoimprint lithography (NIL) process and radio frequency (RF)...  相似文献   

15.
Microlens arrays of high-refractive-index glass GeO2-SiO2 were fabricated by femtosecond laser lithography assisted micromachining. GeO2-SiO2 thin glass films, which were deposited by plasma-enhanced chemical vapor deposition, have a refractive index of 1.4902 and exhibit high transparency at wavelengths longer than 320 nm. Using a femtosecond laser, three-dimensional patterns were written inside resists on GeO2-SiO2 films, and then the patterns were transferred to the underlying films by CHF3 and O2 plasma treatments. This combined process enabled us to obtain uniform microlens structures with a diameter of 38 μm. The heights of the transferred lenses were approximately one-quarter the height of the resist patterns, due to differences in the plasma etching rates between GeO2-SiO2 and the resist. The lens surfaces were smooth. When 632.8-nm-wavelength He-Ne laser light was normally coupled to the lenses, focal spots with a diameter of 3.0 μm were uniformly observed. The combined process was effective in fabricating three-dimensional surfaces of inorganic optical materials.  相似文献   

16.
Recently, ArF immersion lithography has been considered as a promising method after ArF dry lithography by a factor of refractive index n of the liquid filled into the space between the bottom lens and wafer,in the case of 193-nm exposure tools, water (n = 1.44) has been found as the best liquid. We explore the NA/σ's dependence of depth of focus (DOF) under 3/4 annular and 3/4 quasar illumination by resist Finally, we explored the high NA's dependency of DOF and gave the explanation for the peak value of DOF through three-beam imaging process, MicroCruiser 2.0, Prolith version 8.0.2 and k2 factor based on the Rayleigh equation.  相似文献   

17.
Plasmonic metallic nanoholes are widely used to focus or image in the nanoscale field. In this article, we present the results of the design, fabrication, and plasmonic properties of a two-dimensional metallic pentagram nanohole array. The nanoholes can excite the extraordinary transmission phenomenon. We used the finite-difference time-domain method to design the transmission and the localized surface plasmon resonance electric field distribution in the near field. The focused ion beam method was used to fabricate the nanoholes. The transmittance in the far field was measured by a scanning spectrophotometer. The difference between the design and the experimental results may be caused by the conversion between the near field and the far field. The near field electric field distribution on the surface plasmonic nanoholes was measured by a near-field scanning optical microscope. From our results, we found that the maximum transmission of the nanoholes is 2.4. Therefore, our plasmonic nanohole can significantly enhance the transmission by exciting the plasmonic phenomenon on the surface of the nanostructures.  相似文献   

18.
We study the influence of the absorption of materials used for holographic fabrication of photonic structures on their uniformity along the film thickness. We demonstrate theoretically and experimentally a strong dependence of structure thickness and uniformity on the exposure dose of the interference pattern. A novel technique is proposed to overcome the absorption effect and to fabricate thick two- and three-dimensional structures, which are uniform throughout the film thickness. It consists of exposing once again the sample by an additional and independent counterpropagating uniform beam, which allows to compensate the diminution of the light intensity of interference pattern. These results are very useful for the fabrication of high quality polymer-based photonic crystals.  相似文献   

19.
A two-dimensional(2D) distributed feedback(DFB) structure is fabricated on dye-doped sol-gel derived hybrid zirconia films by soft lithography.The Q-switched Nd:YAG laser(λ = 532 nm) is used to pump these structures.The lasing emissions of the gain medium doped with Rhodamine 6G(Rh6G) in two perpendicular directions are shown,and the threshold pump energy is measured.  相似文献   

20.
在半导体微纳加工技术中, 纳米压印由于具备低成本、高产出、超高分辨率等诸多优势而备受研究者和半导体厂商的青睐, 有望成为下一代光刻技术的重要备选支撑技术之一. 然而在其施压流程中, 由于气体诱捕或陷入所造成的气泡缺陷问题直接关系到图案复制的成功率和完整性, 因此避免气泡缺陷, 阻止气泡进入模穴是亟待解决的关键问题. 提出一种适用于在气体环境中进行气压压缩式纳米压印工艺并避免气体进入掩膜板基板间隙的方法. 采用带有刻蚀一定宽度凸出环的掩膜板, 凸出环与基板形成环板毛细缝隙, 图形转移介质流体在其中形成毛细液桥, 使掩膜板-介质-基板形成独立的封闭腔, 转移介质黏附力所产生的静摩擦力及介质流体表面张力所诱导的毛细力抵抗施压气体, 有效地阻止气体进入空穴形成气泡缺陷.通过理论解析推导求出针对具有不同表面特性转移介质流体的凸出环有效宽度, 为掩膜板制备提供理论依据. 关键词: 纳米压印 凸出环 毛细液桥 静摩擦力  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号