首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
We have discovered a mechanism which can significantly reduce the dislocation density during the growth of GaN single crystals in the Na flux method. The significant reduction of the dislocation density occurs in the later stage of LPE growth, rather than solely at the seed-LPE interface for which we have already reported evidence indicating the presence of bundling dislocations. The two-step dislocation reduction is the key in achieving extremely low dislocation density using this method.  相似文献   

2.
High quality GaN layer was obtained by insertion of high temperature grown AlN multiple intermediate layers with migration enhanced epitaxy method by the RF-plasma assisted molecular beam epitaxy on (0 0 01) sapphire substrates. The propagating behaviors of dislocations were studied, using a transmission electron microscope. The results show that the edge dislocations were filtered at the AlN/GaN interfaces. The bending propagation of threading dislocations in GaN above AlN interlayers was confirmed. Thereby, further reduction of dislocations was achieved. Dislocation density being reduced, the drastic increase of electron mobility to 668 cm2/V s was obtained at the carrier density of 9.5×1016 cm−3 in Si doped GaN layer.  相似文献   

3.
The threading dislocation (TD) density in GaN films grown directly on flat sapphire substrates is typically >1010/cm2, which can deteriorate the properties of GaN-based LEDs significantly. This paper reports an approach to reducing the TD density in a GaN layer using a variety of patterned sapphire substrates (PSS). A cone-shaped PSS produced by metal organic chemical vapor deposition (MOVCD) was used for GaN deposition. Three types of GaN specimens were prepared at the initial nucleation stage, middle growth stage and final growth stage. The TDs generated on the cone-shaped PSS were analyzed by transmission electron microscopy (TEM) and a strain mapping simulation using HRTEM images, which evaluated the residual strain distribution. A large number of TDs were generated and the residual strain by the lattice distortions remained above the top of the cone-shaped regions. However, no TDs and residual strain were observed at the slope of the cone-shaped regions. This might be due to the formation of a GaN layer by lateral overgrowth at the slope of the cone-shaped regions, resulting in less lattice mismatch and incoherency between the GaN and sapphire. In conclusion, the TD density in the GaN layer could be reduced significantly, approximately 107/cm2, using the cone-shaped PSS.  相似文献   

4.
In this study we report the potential and limitations of the cathodoluminescence dark spot (DS) counting as a method for the determination of dislocation density and distribution in GaN, produced by the hydride vapour phase epitaxy (HVPE). Different GaN sample series (s.i. GaN:Fe and n-type GaN:Si) were used, in order to study the dependence of the results of the DS-counting on the dopant type and concentration. By the direct comparison of these results to classical defect selective etching, the DS-measurements were validated. It could be shown that each of the both methods have their particular restrictions, which must be considered in their application.  相似文献   

5.
为了降低MOCVD外延硅基GaN膜层中的应力、减少硅基厚GaN层的微裂;在高温GaN层中插入低温AlN.低温AlN插入层可平衡HT-GaN生长和降温过程引起的张应力,降低厚膜外延层的微裂,已研制出厚度超过1.8微米无微裂GaN外延层.本文重点研究了低温AlN生长温度对HT-GaN材料的影响,给出了较佳的LT-AlN生长温度.采用扫描电子显微镜(SEM),原子力显微镜(AFM)和高分辨率双晶X射线衍射(DCXRD),对样品进行了测试分析.试验和测试结果表明低温AlN的生长温度至关重要,生长温度过低影响GaN晶体质量,甚至不能形成晶体;生长温度过高同样会影响GaN结晶质量,同时降低插入层的应力平衡作用;实验结果表明最佳的LT-AlN插入层的生长温度为680℃左右.  相似文献   

6.
ECR-PECVD制备n型微晶硅薄膜的研究   总被引:1,自引:1,他引:0  
用电子回旋共振等离子体增强化学气相沉积(ECR-PECVD)的方法制备了磷掺杂微晶硅薄膜材料.通过Hall,Raman光谱和XRD的测试分析,研究了衬底温度和磷烷流量对掺杂薄膜组织结构和电学性能的影响.根据AFM照片分析了薄膜的表面形貌,进而推测了薄膜的内部组成.实验发现:衬底温度在250 ℃时,磷烷的加入会大大降低薄膜的晶化率.衬底温度提高到350 ℃后这种影响明显下降.薄膜的载流子浓度和电导率受薄膜晶化率影响明显,衬底温度的升高对薄膜电学性能提高有较大帮助.  相似文献   

7.
We studied the selective growth behaviors of InP through narrow openings (<2 μm) by metal-organic chemical vapor deposition. The lateral overgrowth was observed to be significantly affected by both the opening width and orientation. It was found that the lateral overgrowth length reached the maximum at 60° off [0 1 1] direction. The lateral overgrowth also showed a ‘diffraction-like’ behavior, with the overgrowth length increasing with decreasing opening width. Based on these results, a novel InP/InGaAs heterojunction bipolar transistor (HBT) structure with extrinsic base laterally overgrown on SiO2 is proposed. The device behaviors of the laterally regrown-base HBT prototypes are demonstrated.  相似文献   

8.
A low dislocation density of 107–8 cm−2 in GaN thin films on 6H-SiC(0001) substrates grown by metalorganic chemical vapor deposition was achieved. By considering possible origins of dislocations in the GaN/AlN/Sic structure, two major dislocation reduction routes are proposed; ultra-thin AlN buffer layers and smooth AlN surfaces in an atomic scale. Experimentally, the effects of the surface roughness and structural perfection of the AlN buffer layer on GaN film quality were extensively investigated as a function of AlN film thickness. The reduced dislocation density was realized by using ultra-thin AlN buffer layers having a thickness of 1.5 nm, which is below the critical value for misfit dislocation generation. The smoother surface morphology and enhanced structural quality of ultra-thin AlN buffer layers were found to be the main parameters in reducing the defect density in the GaN film.  相似文献   

9.
AlGaN/GaN heterostructures were deposited on Si utilizing in‐situ SiN masking layer as a mean to decrease stress present in the final heterostructures. Structures were grown under different V/III ratio using metalorganic vapour phase epitaxy (MOVPE). Additional approach was applied to obtain crack‐free heterostructures which was deposition of 15 nm low temperature AlN interlayer. Each of the heterostructure contained GaN layer of 2.4 μm total thickness. In‐situ SiN masking layer were obtained via introduction of SiH4 precursor into reactor under high temperature growth conditions for 100 s. In that manner, few monolayers of SixNx masking layer were deposited, which due to the partial coverage of AlN, played role of a mask leading to initial 3D growth mode enhancing longer coalescence of GaN buffer layer. To study surface morphology AFM images were observed. Three methods were used in order to obtain basal plane stress present in multilayer structures ‐ MicroRaman spectroscopy, XRD studies and optical profilometry. It was found that varying V/III precursors ratio during GaN layer growth characteristic for structures with the SiN mask approach formation of triangular micropits can be minimized. Outcomes for three different methods turned out to be coherent. It was found that certain amount of micropits on the surface can be advantageous lowering stress introduced during cooling after process to the AlGaN/GaN/SiN/AlN/Si(111) structure.  相似文献   

10.
Dislocation density fluctuations over randomly oriented crystals are reliably distinguishable against the Poisson noise background. A systematic reduction of fluctuations is observed with increasing order in crystal orientations.  相似文献   

11.
The point symmetries mm2, m or 2 at the core of a straight edge dislocation have been tabulated for 113 different types of glide systems.  相似文献   

12.
We developed a novel, simple procedure for achieving lateral confined epitaxy (LCE). This procedure enables the growth of uncracked GaN layers on a Si substrate, using a single, continuous metalorganic chemical vapor deposition (MOCVD) run. The epitaxial growth of GaN is confined to mesas, defined by etching into the Si substrate prior to the growth. The LCE-GaN layers exhibit improved morphological and optical properties compared to the plain GaN-on-Si layers grown in the same MOCVD system. By performing a set of LCE growth runs on mesas of varying lateral dimensions, we specified the crack-free range of GaN on Si as 14.0±0.3 μm.  相似文献   

13.
Germanium (Ge) single crystals with an extremely low density of grown-in dislocations were grown by the Czochralski (CZ) technique with boron oxide (B2O3) liquid. Because attachment of particles floating on the melt surface to a growing Ge crystal leads to generation of dislocations during the growth, partial covering of the Ge melt surface with B2O3 liquid was attempted. Such attachment of particles was drastically suppressed or the particles were caught by the introduction of B2O3 liquid, and a particle-free Ge melt was realized in the central region of the melt surface. Ge single crystals were successfully grown from such melt, the grown-in dislocation density being 0–1×103 cm−2, which was remarkably lower than that in Ge crystals grown by a conventional CZ technique. The contaminations by B and O atoms of the grown crystal detected by SIMS analysis were very low. These Ge crystals have the potential for application to be applied as high-quality, dislocation-free substrates of GaAs solar cells for various usages including in space.  相似文献   

14.
Diffraction analysis of the mixed dislocation structure of a polycrystal with an orthorhombic texture is reported. A significant difference in the dislocation densities in the texture components of cold-deformed low-carbon steel has been revealed.  相似文献   

15.
Double-crystal X-ray diffraction is commonly used to measure the misfit strain and relaxation of epitaxial semiconductor layers. In this paper, a framework is developed which links the measured parameters Δd / d and Δø to the deformation tensor of a semicoherent layer. Isotropic elasticity theory and the Frank-Bilby equation are used to derive an analytical expression for this deformation. By combining X-ray measurements of different planes, it is possible to obtain the misfit strain and details of the misfit dislocation array in a strained layer grown on a substrate of arbitrary orientation. In (001) layers, it is shown that the misfit strain and relaxation can be found from just six rocking curves, although the most accurate measurements require twelve rocking curves.  相似文献   

16.
A dislocation density dependence on crystal transverse dimensions has been investigated for crystals of Sb grown in split graphite moulds at rates of 1.5; 8.5 and 15 cm/h. The dependence is shown to be the same for all growth rates which agrees with an earlier suggested theoretical equation.  相似文献   

17.
We have grown undoped, Si- and Mg-doped GaN epilayers using metalorganic chemical vapor deposition. The grown samples have electron Hall mobilities (carrier concentrations) of 798 cm2/V s (7×1016 cm−3) for undoped GaN and 287 cm2/V s (2.2×1018 cm−3) for Si-doped GaN. Mg-doped GaN shows a high hole concentration of 8×1017 cm−3 and a low resistivity of 0.8 Ω cm. When compared with undoped GaN, Si and Mg dopings increase the threading dislocation density in GaN films by one order and two orders, respectively. Besides, it was observed that the Mg doping causes an additional biaxial compressive stress of 0.095 GPa compared with both undoped and Si-doped GaN layers, which is due to the incorporation of large amount of Mg atoms (4–5×1019 cm−3).  相似文献   

18.
Recent results in Density Functional Theory (DFT) simulations of ammonia‐based growth of gallium nitride on GaN (0001) are reviewed. These simulations are important to the following GaN growth methods that use ammonia as active nitrogen source: ammonothermal, MOVPE, HVPE and also ammonia‐source MBE. In the simulations of GaN growth, the two main approaches were discussed: (1) equilibrium, based on chemical potentials of the components, and (2) dynamic, based on consideration of atomistic processes on the surface. These two approaches are unified by the kinetic procedure of determination of the chemical potential levels for nitrogen and hydrogen as a function of partial pressure of ammonia. Here the DFT modeling of GaN(0001) surface employing the technique of the simulation of subsurface electric field is described and employed. The results of DFT modeling include the ammonia and molecular hydrogen adsorption on GaN(0001) surface that allows to determine some basic features of ammonia‐based growth of GaN. (© 2009 WILEY‐VCH Verlag GmbH & Co. KGaA, Weinheim)  相似文献   

19.
本文通过XRD和PL等分析方法研究了在Si衬底生长的GaN基LED外延薄膜n型GaN层和InGaN阱层的应力状态,以及裂纹对其应力状态的影响.XRD结果表明:在Si衬底生长的GaN基LED外延薄膜n型GaN层受到张应力,在受到一定的外加应力后会以裂纹及裂纹增生的方式释放.随着裂纹数量的增加,n型GaN层受到的张应力逐渐减小,但仍处于张应力状态;n型GaN层张应力的减小使得InGaN阱层受到的压应力增大.PL分析进一步表明:InGaN阱层受到的压应力增大使得量子限制Stark效应更加明显,禁带宽度减小,发光波长表现为红移.  相似文献   

20.
We report on the growth of thick GaN epilayers on 4-in. Si(1 1 1) substrates by metalorganic chemical vapor deposition. Using intercalated AlN layers that contribute to counterbalance the tensile strain induced by the thermal mismatch between gallium nitride and the silicon substrate, up to 6.7 μm thick crack-free group III-nitride layers have been grown. Root mean-squares surface roughness of 0.5 nm, threading dislocation densities of 1.1×109 cm?2, as well as X-ray diffraction (XRD) full widths at half-maximum (FWHM) of 406 arcsec for the GaN(0 0 2) and of 1148 arcsec for the GaN(3 0 2) reflection have been measured. The donor bound exciton has a low-temperature photoluminescence line width of 12 meV. The correlation between the threading dislocation density and XRD FWHM, as well as the correlation between the wafer curvature and the GaN in-plane stress is discussed. An increase of the tensile stress is observed upon n-type doping of GaN by silicon.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号