首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
Encapsulation methods have shown to be effective in imparting improved stability to metal-halide perovskite nanocrystals (NCs). Atomic layer deposition (ALD) of metal oxides is one of the promising approaches for such encapsulation, yet better control on the process parameters are required to achieve viable lifetimes for several optoelectronic and photocatalytic applications. Herein, we optimize the ALD process of amorphous aluminum oxide (AlOx) as an encapsulating layer for CsPbBr3 NC thin films by using oxygen (O2) as a molecular diffusion probe to assess the uniformity of the deposited AlOx layer. When O2 reaches the NC surface, it extracts the photogenerated electrons, thus quenching the PL of the CsPbBr3 NCs. As the quality of the ALD layer improves, less quenching is expected. We compare three different ALD deposition modes. We find that the low temperature/high temperature and the exposure modes improve the quality of the alumina as a gas barrier when compared with the low temperature mode. We attribute this result to a better diffusion of the ALD precursor throughout the NC film. We propose the low temperature/high temperature as the most suitable mode for future implementation of multilayered coatings.  相似文献   

2.
Solid-state batteries (SSBs) that use solid electrolytes instead of flammable liquid electrolytes have the potential to generate higher specific capacity and offer better safety. Magnesium (Mg) based SSBs with Mg metal anodes are considered to be one of the most promising energy storage candidates, because it gives high theoretical volumetric capacities of 3830 mAh cm−3. Here, we demonstrate an atomic layer deposition (ALD) process with a double nitrogen plasma process that successfully produces nitrogen-incorporated magnesium phosphorus oxynitride (MgPON) solid-state electrolyte (SSE) thin films at a low deposition temperature of 125 °C. The ALD MgPON SSEs exhibit an ionic conductivity of 0.36 and 1.2 μS cm−1 at 450 and 500 °C, respectively. The proposed ALD strategy shows the ability of conformal deposition nitrogen-doped SSEs on pattered substrates and is attractive for using nitride ion-conducing films as protective or wetting interlayers in solid-state Mg and Li batteries.  相似文献   

3.
The atomic layer deposition (ALD) of iron sulfide (FeSx ) is reported for the first time. The deposition process employs bis(N ,N′ ‐di‐tert‐butylacetamidinato)iron(II) and H2S as the reactants and produces fairly pure, smooth, and well‐crystallized FeSx thin films following an ideal self‐limiting ALD growth behavior. The FeSx films can be uniformly and conformally deposited into deep narrow trenches with aspect ratios as high as 10:1, which highlights the broad applicability of this ALD process for engineering the surface of complex 3D nanostructures in general. Highly uniform nanoscale FeSx coatings on porous γ‐Al2O3 powder were also prepared. This compound shows excellent catalytic activity and selectivity in the hydrogenation of azo compounds under mild reaction conditions, demonstrating the promise of ALD FeSx as a catalyst for organic reactions.  相似文献   

4.
The combined atomic and molecular layer deposition (ALD/MLD) technique offers a unique way to build—both known and previously unknown—crystalline coordination polymer materials directly from gaseous precursors in a high-quality thin-film form. Here, we demonstrate the ALD/MLD of crystalline Li-, Na-, and K-based 3,5-pyridinedicarboxylate (3,5-PDC) thin films; the Li2-3,5-PDC films are of the known Li-ULMOF-4 crystal structure whereas the other as-deposited crystalline films possess structures not previously reported. Another exciting possibility offered by ALD/MLD is the deposition of well-defined but amorphous metal–organic thin films, such as our Mg-, Ca-, Sr-, and Ba-based 3,5-PDC films, which can then be crystallized into water-containing structures through a post-deposition humidity treatment. All together, the new metal–organic structures realized in this study through ALD/MLD comprise a majority of the (anhydrous and water-containing) members of the s-block metal 3,5-pyridinedicarboxylate family.  相似文献   

5.
Transition‐metal phosphides (TMP) prepared by atomic layer deposition (ALD) are reported for the first time. Ultrathin Co‐P films were deposited by using PH3 plasma as the phosphorus source and an extra H2 plasma step to remove excess P in the growing films. The optimized ALD process proceeded by self‐limited layer‐by‐layer growth, and the deposited Co‐P films were highly pure and smooth. The Co‐P films deposited via ALD exhibited better electrochemical and photoelectrochemical hydrogen evolution reaction (HER) activities than similar Co‐P films prepared by the traditional post‐phosphorization method. Moreover, the deposition of ultrathin Co‐P films on periodic trenches was demonstrated, which highlights the broad and promising potential application of this ALD process for a conformal coating of TMP films on complex three‐dimensional (3D) architectures.  相似文献   

6.
The atomic/molecular layer deposition (ALD/MLD) technique provides an elegant way to grow crystalline metal–azobenzene thin films directly from gaseous precursors; the photoactive azobenzene linkers thus form an integral part of the crystal framework. Reversible water capture/release behavior for these thin films can be triggered through the transcis photoisomerization reaction of the azobenzene moieties in the structure. The ALD/MLD approach could open up new horizons for example, for the emerging fields of remotely controlled drug delivery and gas storage.  相似文献   

7.
Atomic‐layer deposition (ALD) is a thin‐film growth technology that allows for conformal growth of thin films with atomic‐level control over their thickness. Although ALD is successful in the semiconductor manufacturing industry, its feasibility for nanoparticle coating has been less explored. Herein, the ALD coating of TiO2 layers on ZnO nanoparticles by employing a specialized rotary reactor is demonstrated. The photocatalytic activity and photostability of ZnO nanoparticles coated with TiO2 layers by ALD and chemical methods were examined by the photodegradation of Rhodamine B dye under UV irradiation. Even though the photocatalytic activity of the presynthesized ZnO nanoparticles is higher than that of commercial P25 TiO2 nanoparticles, their activity tends to decline due to severe photocorrosion. The chemically synthesized TiO2 coating layer on ZnO resulted in severely declined photoactivity despite the improved photostability. However, ultrathin and conformal ALD TiO2 coatings (≈0.75–1.5 nm) on ZnO improved its photostability without degradation of photocatalytic activity. Surprisingly, the photostability is comparable to that of pure TiO2, and the photocatalytic activity to that of pure ZnO.  相似文献   

8.

A plasma enhanced chemical vapor deposition (PECVD) reactor was used to deposit thin polymeric films with high absorption at 193 nm. The reactor is suitable to deposit uniform and pinhole free thin polymeric films with conformality over 95%. Conformal films with thickness as low as 200 Å have been deposited on silicon, glass, and quartz substrates, as well as silicon oxide, silicon nitrate, and aluminum films. Deposited films had variations in thickness of 3 to 5% over an area of 8 inches in diameter. Thin films deposited on silicon substrates under varying levels of RF power were scanned using the AFM technique. The measurements show increasing surface roughness of the scanned samples as the RF power increases.  相似文献   

9.
The superlative electronic properties of graphene suggest its use as the foundation of next generation integrated circuits. However, this application requires precise control of the interface between graphene and other materials, especially the metal oxides that are commonly used as gate dielectrics. Towards that end, organic seeding layers have been empirically shown to seed ultrathin dielectric growth on graphene via atomic layer deposition (ALD), although the underlying chemical mechanisms and structural details of the molecule/dielectric interface remain unknown. Here, confocal resonance Raman spectroscopy is employed to quantify the structure and chemistry of monolayers of 3,4,9,10-perylenetetracarboxylic dianhydride (PTCDA) on graphene before and after deposition of alumina with the ALD precursors trimethyl aluminum (TMA) and water. Photoluminescence measurements provide further insight into the details of the growth mechanism, including the transition between layer-by-layer growth and island formation. Overall, these results reveal that PTCDA is not consumed during ALD, thereby preserving a well-defined and passivating organic interface between graphene and deposited dielectric thin films.  相似文献   

10.
We report a new layer-by-layer growth method of self-assembled organic multilayer thin films based on gas-phase reactions. In the present molecular layer deposition (MLD) process, alkylsiloxane self-assembled multilayers (SAMs) were grown under vacuum by repeated sequential adsorptions of C=C-terminated alkylsilane and titanium hydroxide. The MLD method is a self- limiting layer-by-layer growth process, and is perfectly compatible with the atomic layer deposition (ALD) method. The SAMs films prepared exhibited good thermal and mechanical stability, and various unique electrical properties. The MLD method, combined with ALD, was applied to the preparation of organic-inorganic hybrid nanolaminate films in the ALD chamber. The organic-inorganic hybrid superlattices were then used as active mediums for two-terminal electrical bistable devices. The advantages of the MLD method with ALD include accurate control of film thickness, large-scale uniformity, highly conformal layering, sharp interfaces, and a vast library of possible materials. The MLD method with ALD is an ideal fabrication technique for various organic-inorganic hybrid superlattices.  相似文献   

11.
Owing to the limited availability of suitable precursors for vapor phase deposition of rare-earth containing thin-film materials, new or improved precursors are sought after. In this study, we explored new precursors for atomic layer deposition (ALD) of cerium (Ce) and ytterbium (Yb) containing thin films. A series of homoleptic tris-guanidinate and tris-amidinate complexes of cerium (Ce) and ytterbium (Yb) were synthesized and thoroughly characterized. The C-substituents on the N-C-N backbone (Me, NMe2, NEt2, where Me=methyl, Et=ethyl) and the N-substituents from symmetrical iso-propyl (iPr) to asymmetrical tertiary-butyl (tBu) and Et were systematically varied to study the influence of the substituents on the physicochemical properties of the resulting compounds. Single crystal structures of [Ce(dpdmg)3] 1 and [Yb(dpdmg)3] 6 (dpdmg=N,N'-diisopropyl-2-dimethylamido-guanidinate) highlight a monomeric nature in the solid-state with a distorted trigonal prismatic geometry. The thermogravimetric analysis shows that the complexes are volatile and emphasize that increasing asymmetry in the complexes lowers their melting points while reducing their thermal stability. Density functional theory (DFT) was used to study the reactivity of amidinates and guanidinates of Ce and Yb complexes towards oxygen (O2) and water (H2O). Signified by the DFT calculations, the guanidinates show an increased reactivity toward water compared to the amidinate complexes. Furthermore, the Ce complexes are more reactive compared to the Yb complexes, indicating even a reactivity towards oxygen potentially exploitable for ALD purposes. As a representative precursor, the highly reactive [Ce(dpdmg)3] 1 was used for proof-of-principle ALD depositions of CeO2 thin films using water as co-reactant. The self-limited ALD growth process could be confirmed at 160 °C with polycrystalline cubic CeO2 films formed on Si(100) substrates. This study confirms that moving towards nitrogen-coordinated rare-earth complexes bearing the guanidinate and amidinate ligands can indeed be very appealing in terms of new precursors for ALD of rare earth based materials.  相似文献   

12.
Gallium sulfide (GaxS) and copper gallium sulfide (CuxGaySz) were synthetized by atomic layer deposition (ALD), using copper acetylacetonate Cu(acac)2, hexakis(dimethylamino)digallium [Ga(NMe2)3]2 and hydrogen sulfide (H2S). Thanks to the compatibility of the CuxS and GaxS ALD windows, a supercycle strategy that combines single growth cycles of the two binary compounds was used to generate the ternary material. A wide range of compositions and properties can be obtained from Ga-rich to Cu-rich via copper gallium sulfide thin films. Structural, morphological, and optoelectronic characterizations were performed on all films. Surface and in-depth chemical compositions were determined by X-ray photoelectron spectroscopy profiling, allowing a better understanding of the chemical reactions involved during the growth process. In the case of GaxS films, other Ga precursors have been tested. Our experimental observations, combined with reported ones and density functional theory calculation results have highlighted the specific reactivity of alkylamido precursor in ALD chemistry. Compositional studies revealed a significant O content which origin is discussed and represents an important challenge to address in ALD of sulfide materials in general.  相似文献   

13.
Wet chemical screening reveals the very high reactivity of Mo(NMe2)4 with H2S for the low‐temperature synthesis of MoS2. This observation motivated an investigation of Mo(NMe2)4 as a volatile precursor for the atomic layer deposition (ALD) of MoS2 thin films. Herein we report that Mo(NMe2)4 enables MoS2 film growth at record low temperatures—as low as 60 °C. The as‐deposited films are amorphous but can be readily crystallized by annealing. Importantly, the low ALD growth temperature is compatible with photolithographic and lift‐off patterning for the straightforward fabrication of diverse device structures.  相似文献   

14.
Novel polymer/ceramic nanocomposite membranes were fabricated, characterized and tested for their barrier performance. Atomic layer deposition (ALD) was used to deposit alumina films on primary, micron-sized (16 and 60 μm) high-density polyethylene (HDPE) particles at a rate of 0.5 nm/cycle at 77 °C. Well-dispersed polymer/ceramic nanocomposites were obtained by extruding alumina coated HDPE particles. The dispersion of alumina flakes can be controlled by varying the number of ALD coating cycles and substrate polymer particle size. The diffusion coefficient of fabricated nanocomposite membranes can be reduced to half with the inclusion of 7.29 vol.% alumina flakes. However, a corresponding increase in permeability was also observed due to the voids formed at or near the interface of the polymer and alumina flakes during the extrusion process, as evidenced by electron microscopy. The low surface wettability of the alumina outerlayers was believed to be one of the main reasons of void formation. Particle surface wettability was improved using 3-aminopropyltriethoxysilane (APS) to coat the particle ALD surface modified polymer particles prior to extrusion. The diffusion coefficient and permeability of the membrane using surfactant-modified particles decreased by 20%, relative to the non-modified case.  相似文献   

15.
An alumina surface coating is demonstrated to improve electrochemical performance of MoO3 nanoparticles as high capacity/high‐volume expansion anodes for Li‐ion batteries. Thin, conformal surface coatings were grown using atomic layer deposition (ALD) that relies on self‐limiting surface reactions. ALD coatings were tested on both individual nanoparticles and prefabricated electrodes containing conductive additive and binder. The coated and non‐coated materials were characterized using transmission electron microscopy, energy‐dispersive X‐ray spectroscopy, electrochemical impedance spectroscopy, and galvanostatic charge/discharge cycling. Importantly, increased stability and capacity retention was only observed when the fully fabricated electrode was coated. The alumina layer both improves the adhesion of the entire electrode, during volume expansion/contraction and protects the nanoparticle surfaces. Coating the entire electrode also allows for an important carbothermal reduction process that occurs during electrode pre‐heat treatment. ALD is thus demonstrated as a novel and necessary method that may be employed to coat the tortuous network of a battery electrode.  相似文献   

16.
Analysis of ALD-processed thin films by ion-beam techniques   总被引:1,自引:0,他引:1  
This review introduces the possibilities of ion-beam techniques for the analysis of thin films and thin-film structures processed by atomic layer deposition (ALD). The characteristic features of ALD are also presented. The analytical techniques discussed include RBS, NRA and ERDA with its variants, viz. the TOF-ERDA and HI-ERDA. The thin film examples are taken from flat-panel display technology (TFEL structures) and the semiconductor industry (high-k insulators).Dedicated to the memory of Wilhelm Fresenius  相似文献   

17.
Ellipsometric porosimetry (EP) is a handy technique to characterize the porosity and pore size distribution of porous thin films with pore diameters in the range from below 1 nm up to 50 nm and for the characterization of porous low-k films especially. Atomic layer deposition (ALD) can be used to functionalize porous films and membranes, e.g., for the development of filtration and sensor devices and catalytic surfaces. In this work we report on the implementation of the EP technique onto an ALD reactor. This combination allowed us to employ EP for monitoring the modification of a porous thin film through ALD without removing the sample from the deposition setup. The potential of in situ EP for providing information about the effect of ALD coating on the accessible porosity, the pore radius distribution, the thickness, and mechanical properties of a porous film is demonstrated in the ALD of TiO(2) in a mesoporous silica film.  相似文献   

18.
Cu and Ag precursors that are volatile, reactive, and thermally stable are currently of high interest for their application in atomic-layer deposition (ALD) of thin metal films. In pursuit of new precursors for coinage metals, namely Cu and Ag, a series of new N-heterocyclic carbene (NHC)-based CuI and AgI complexes were synthesized. Modifications in the substitution pattern of diketonate-based anionic backbones led to five monomeric Cu complexes and four closely related Ag complexes with the general formula [M(tBuNHC)(R)] (M=Cu, Ag; tBuNHC=1,3-di-tert-butyl-imidazolin-2-ylidene; R=diketonate). Thermal analysis indicated that most of the Cu complexes are thermally stable and volatile compared to the more fragile Ag analogs. One of the promising Cu precursors was evaluated for the ALD of nanoparticulate Cu metal deposits by using hydroquinone as the reducing agent at appreciably low deposition temperatures (145–160 °C). This study highlights the considerable impact of the employed ligand sphere on the structural and thermal properties of metal complexes that are relevant for vapor-phase processing of thin films.  相似文献   

19.
Magnetron sputtering deposition is a widely used technique to deposit thin film precisely at nanoscale level. During the deposition of metal oxide thin films, reactive oxygen gas is introduced into the deposition chamber. Pure metal and metal oxide materials can be used as sputter target, although the simplest way is by using a pure metal target. In such reactive process, the effect of target poisoning significantly influence the deposition process and the growth mechanisms of metal oxide thin films became very complex. In general, external parameters such as discharge power, working pressure, reactive gases ratio and substrate temperature are used to optimize the properties of deposited thin films. Then, ex-situ analyses such as scanning electron microscope and X-ray diffraction analysis are performed to obtain the optimized parameter. Sample depositions and ex-situ analyses consume time to achieve the goal through try and error. In this article, in-situ plasma diagnostics are reviewed focusing on an optical emission spectroscopy to precisely control and investigate the sputter target poisoning effect during the deposition of metal oxide thin films. The emission of atomic lines from several metal and oxygen atoms were used to discuss the deposition mechanisms and their correlation with the deposited thin films was observed. Finally, the deposited metal oxide thin films were proposed and tested for several applications such as gas sensor and frequency selective surface glass.  相似文献   

20.
Producing zeolite films with controlled preferred orientation on an industrial scale is a long‐standing challenge. Herein we report on a scalable approach to the direct wet deposition of zeolite thin films and membranes while maintaining a high degree of control over the preferred crystal orientation. As a proof of concept, thin films comprising aluminophosphate zeolite AEI were cast on silicon wafer or porous alumina substrates. Electrical properties and separation performance of the zeolite thin films/membranes were engineered through controlling degree of preferred crystal orientation.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号