首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
Growth initiation and film nucleation in atomic layer deposition (ALD) is important for controlling interface composition and achieving atomic-scale films with well-defined composition. Ruthenium ALD is studied here using ruthenocene and oxygen as reactants, and growth initiation and nucleation are characterized on several different growth surfaces, including SiO2, HfO2, and hydrogen terminated silicon, using on-line Auger electron spectroscopy and ex-situ X-ray photoelectron spectroscopy. The time needed to reach the full growth rate (typically approximately 1 A per deposition cycle) is found to increase as the surface energy of the starting surface (determined from contact angle measurements) decreased. Growth starts more readily on HfO2 than on SiO2 or Si-H surfaces, and Auger analysis indicates distinct differences in surface reactions on the various surfaces during film nucleation. Specifically, surface oxygen is consumed during ruthenocene exposure, so the nucleation rate will depend on the availability of oxygen and the energetics of surface oxygen bonding on the starting substrate surface.  相似文献   

2.
The initial surface reactions involved in the atomic layer deposition (ALD) of TiO2 from TiI4 and H2O onto a SiO2 substrate have been investigated using electronic structure calculations based on cluster models. The detailed atomic growth mechanisms on different types of functionalities on the SiO2 substrate have been proposed. The effects of quantum tunneling and hindered rotations of adsorbates on the rate of surface reactions have been investigated. The effects of tunneling were found to be negligible for all reactions, because typical ALD temperatures range from 150 to 450 degrees C. However, the rotational contributions to the rate constants must be taken into account in certain cases. All of the three surface functionalities investigated exhibit high chemical reactivity toward TiI4 precursors at typical ALD temperatures. The rate constants of the second half-reactions between Ti intermediates and H2O are 5-8 orders of magnitude smaller than the first half-reactions between TiI4 and the surface functionalities. Although the iodine release reaction has been used to explain previous experimental measurements, it is predicted to be unfavorable (kinetically and thermodynamically) and is unlikely to occur at typical ALD temperatures. Substitution of TiI4 with TiCl4 as the metal precursor can increase the binding energies of the absorbates onto the surface due to the high electronegativity of the Cl ligands. However, the activation barriers are not significantly different between these two metal precursors. More importantly, our calculations predict that TiI4 precursors tend to produce TiO2 films with fewer impurities than the TiCl4 precursors.  相似文献   

3.
Area-selective atomic layer deposition (ALD) allows the growth of highly uniform thin inorganic films on certain parts of the substrate while preventing the film growth on other parts. Although the selective ALD growth is working well at the micron and submicron scale, it has failed at the nanoscale, especially near the interface where there is growth on one side and no-growth on the other side. The reason is that methods so far solely rely on the chemical modification of the substrate, while neglecting the occurrence of lateral ALD growth at the nanoscale. Here we present a proof-of-concept for blocking the lateral ALD growth also at the nanoscale by combining the chemical surface modification with topographical features. We demonstrate that area-selective ALD of ZnO occurs by applying the diethylzinc/water ALD process on cicada wings that contain a dense array of nanoscopic pillars. The sizes of the features in the inorganic film are down to 25 nm which is, to the best of our knowledge, the smallest obtained by area-selective ALD. Importantly, our concept allows the synthesis of such small features even though the film is multiple times thicker.  相似文献   

4.
Ultrathin polymer films can be fabricated using the gas-phase method known as molecular layer deposition. This process typically uses bifunctional monomers in a sequential, self-limiting reaction sequence to grow conformal polymer films with molecular layer control. In this study, terephthaloyl chloride (TC) and p-phenylenediamine (PD) were used as the bifunctional monomers to deposit poly(p-phenylene terephthalamide) (PPTA) thin films. 3-Aminopropyl trimethoxysilane or ethanolamine was used to prepare amine-terminated surfaces prior to the PPTA MLD. The surface chemistry and growth rate during PPTA MLD at 145 degrees C were studied using in situ transmission Fourier transform infrared (FTIR) spectroscopy experiments on high surface area powders of SiO2 particles. PPTA MLD thin film growth at 145 degrees C was also examined using in situ transmission FTIR experiments on flat KBr substrates with an amine-terminated Al2O3 ALD overlayer. The integrated absorbances of the N-H and amide I stretching vibrations were measured and used to estimate the thin film thickness. X-ray reflectivity (XRR) experiments were also employed to measure the film thickness after PPTA MLD at 145 degrees C and 180 degrees C. The experiments revealed that the TC and PD reactions displayed self-limiting surface chemistry. The surface species alternated with sequential TC and PD exposures and the PPTA MLD films grew continuously. However, the growth rates per MLD cycle at 145 degrees C were less than expectations based on the size of the molecules involved in the reaction chemistry and were variable between 0.5 and 4.0 A per TC/PD reaction cycle. The lower growth rates are explained by the growth of a limited number of polymer chains on the substrate. The variability in the growth rate is attributed to the difficulties with the bifunctional monomer precursors. Alternative surface chemistries for polymer MLD are proposed that would avoid the use of bifunctional monomers.  相似文献   

5.
We report a new layer-by-layer growth method of self-assembled organic multilayer thin films based on gas-phase reactions. In the present molecular layer deposition (MLD) process, alkylsiloxane self-assembled multilayers (SAMs) were grown under vacuum by repeated sequential adsorptions of C=C-terminated alkylsilane and titanium hydroxide. The MLD method is a self- limiting layer-by-layer growth process, and is perfectly compatible with the atomic layer deposition (ALD) method. The SAMs films prepared exhibited good thermal and mechanical stability, and various unique electrical properties. The MLD method, combined with ALD, was applied to the preparation of organic-inorganic hybrid nanolaminate films in the ALD chamber. The organic-inorganic hybrid superlattices were then used as active mediums for two-terminal electrical bistable devices. The advantages of the MLD method with ALD include accurate control of film thickness, large-scale uniformity, highly conformal layering, sharp interfaces, and a vast library of possible materials. The MLD method with ALD is an ideal fabrication technique for various organic-inorganic hybrid superlattices.  相似文献   

6.
We have examined the role of substrate temperature on the surface reaction mechanisms during the atomic layer deposition (ALD) of Al(2)O(3) from trimethyl aluminum (TMA) in combination with an O(2) plasma and O(3) over a substrate temperature range of 70-200 °C. The ligand-exchange reactions were investigated using in situ attenuated total reflection Fourier transform infrared spectroscopy. Consistent with our previous work on ALD of Al(2)O(3) from an O(2) plasma and O(3) [Rai, V. R.; Vandalon, V.; Agarwal, S. Langmuir 2010, 26, 13732], both -OH groups and carbonates were the chemisorption sites for TMA over the entire temperature range explored. The concentration of surface -CH(3) groups after the TMA cycle was, however, strongly dependent on the surface temperature and the type of oxidizer, which in turn influenced the corresponding growth per cycle. The combustion of surface -CH(3) ligands was not complete at 70 °C during O(3) exposure, indicating that an O(2) plasma is a relatively stronger oxidizing agent. Further, in O(3)-assisted ALD, the ratio of mono- and bidentate carbonates on the surface after O(3) exposure was dependent on the substrate temperature.  相似文献   

7.
Postsynthesis processing of nanoparticles to obtain mesoscale hierarchal nanostructures is the key for the development of nanotechnology and smart composites/coatings from these materials. We have utilized gas-expanded liquid deposition of alkyl-coated gold nanoparticles to study the effects of variable process flowrates, variable flow oscillation and variable interaction potential of the substrate on nanoparticle array quality. Array quality is measured here as completeness of area surface coverage of approximately a monolayer of nanoparticles. Quantitative values for surface coverage are averages obtained from multiple TEM photomicrographs using Image J digital analysis. The process was modified using higher CO2 addition rate outside of the pressure range necessary for deposition, and this modified process produced an excellent film quality while reducing overall processing time by 45%. The effects of pressure oscillation during deposition appeared to anneal the film at the lower flow rates, 0.5 and 1.0 mL/min, but a reduction in area coverage was observed with pressure oscillation at 3.0 mL/min. Pressure oscillation has emerged as a useful tool for researchers to tune the film uniformity and therefore the surface roughness. Calculations based on Hamaker theories for surface-particle interactions on various substrates were performed, and better surface coverage was predicted for C-based surfaces compared to Si3N4 and SiO2 surfaces. Indeed, experimental studies verified this general ordering, indicating that if surface interactions with the particles are strong deposition directly on the surface rather than on pre-existing nanoparticle islands may govern uniform deposition.  相似文献   

8.
Reactions during the atomic layer deposition (ALD) process of ZrO(2) from Cp(2)Zr(CH(3))(2) and deuterated water as precursors were studied with a quadrupole mass spectrometer (QMS) at 210-440 degrees C. The detected reaction byproducts were CpD (m/z = 67) and CH(3)D (m/z = 17). Almost all (90%) of the CH(3) ligands were released during the Cp(2)Zr(CH(3))(2) precursor pulse because of exchange reactions with the OD-terminated surface, and the rest, during the D(2)O pulse. About 40% of the CpD was released during the metal precursor pulse, and 60%, during the D(2)O pulse. ALD-type self-limiting growth was confirmed from 210 to 400 degrees C. However, below 300 degrees C the growth rate was low. Precursor decomposition affected the film growth mechanism at temperatures exceeding 400 degrees C.  相似文献   

9.
New materials, namely high-k (high-permittivity) dielectrics to replace SiO(2), Cu to replace Al, and barrier materials for Cu, are revolutionizing modern integrated circuits. These materials must be deposited as very thin films on structured surfaces. The self-limiting growth mechanism characteristic to atomic layer deposition (ALD) facilitates the control of film thickness at the atomic level and allows deposition on large and complex surfaces. These features make ALD a very promising technique for future integrated circuits. Recent ALD research has mainly focused on materials required in microelectronics. Chemistry, in particular the selection of suitable precursor combinations, is the key issue in ALD; many interesting results have been obtained by smart chemistry. ALD is also likely to find applications in other areas, such as magnetic recording heads, optics, demanding protective coatings, and micro-electromechanical systems, provided that cost-effective processes can be found for the materials required.  相似文献   

10.
This paper concerns the electrochemical growth of compound semiconductor thin film superlattice structures using electrochemical atomic layer deposition (ALD). Electrochemical ALD is the electrochemical analogue of atomic layer epitaxy (ALE) and ALD, methods based on nanofilm formation an atomic layer at a time, using surface-limited reactions. Underpotential deposition (UPD) is a type of electrochemical surfaced-limited reaction used in the present studies for the formation of PbSe/PbTe superlattices via electrochemical ALD. PbSe/PbTe thin-film superlattices with modulation wavelengths (periods) of 4.2 and 7.0 nm are reported here. These films were characterized using electron probe microanalysis, X- ray diffraction, atomic force microscopy (AFM), and infrared reflection absorption measurements. The 4.2 nm period superlattice was grown after deposition of 10 PbSe cycles, as a prelayer, resulting in an overall composition of PbSe0.52Te0.48. The 7.0 nm period superlattice was grown after deposition of 100 PbTe cycle prelayer, resulting for an overall composition of PbSe0.44Te0.56. The primary Bragg diffraction peak position, 2theta, for the 4.2 superlattice was consistent with the average (111) angles for PbSe and PbTe. First-order satellite peaks, as well as a second, were observed, indicating a high-quality superlattice film. For the 7.0 nm superlattice, Bragg peaks for both the (200) and (111) planes of the PbSe/PbTe superlattice were observed, with satellite peaks shifted 1 degrees closer to the (111), consistent with the larger period of the superlattice. AFM suggested conformal superlattice growth on the Au on glass substrate. Band gaps for the 4.2 and 7.0 nm period superlattices were measured as 0.48 and 0.38 eV, respectively.  相似文献   

11.
Atomic layer deposition (ALD) is a cyclic process which relies on sequential self-terminating reactions between gas phase precursor molecules and a solid surface. The self-limiting nature of the chemical reactions ensures precise film thickness control and excellent step coverage, even on 3D structures with large aspect ratios. At present, ALD is mainly used in the microelectronics industry, e.g. for growing gate oxides. The excellent conformality that can be achieved with ALD also renders it a promising candidate for coating porous structures, e.g. for functionalization of large surface area substrates for catalysis, fuel cells, batteries, supercapacitors, filtration devices, sensors, membranes etc. This tutorial review focuses on the application of ALD for catalyst design. Examples are discussed where ALD of TiO(2) is used for tailoring the interior surface of nanoporous films with pore sizes of 4-6 nm, resulting in photocatalytic activity. In still narrower pores, the ability to deposit chemical elements can be exploited to generate catalytic sites. In zeolites, ALD of aluminium species enables the generation of acid catalytic activity.  相似文献   

12.
In situ pyroelectric calorimetry and spectroscopic ellipsometry were used to investigate surface reactions in atomic layer deposition (ALD) of zirconium oxide (ZrO2). Calibrated and time-resolved in situ ALD calorimetry provides new insights into the thermodynamics and kinetics of saturating surface reactions for tetrakis(dimethylamino)zirconium(IV) (TDMAZr) and water. The net ALD reaction heat ranged from 0.197 mJ cm−2 at 76 °C to 0.155 mJ cm−2 at 158 °C, corresponding to an average of 4.0 eV/Zr at all temperatures. A temperature dependence for reaction kinetics was not resolved over the range investigated. The temperature dependence of net reaction heat and distribution among metalorganic and oxygen source exposure is attributed to factors including growth rate, equilibrium surface hydroxylation, and the extent of the reaction. ZrO2-forming surface reactions were investigated computationally using DFT methods to better understand the influence of surface hydration on reaction thermodynamics.  相似文献   

13.
Quantum chemical calculations have been carried out on the grafting of chain organosilane compounds on SiO(2)-hydroxylated solid surfaces. It is shown that a single molecule interacting with the surface lies flat to it, inhibiting further homogeneous film growth. This adsorption exhibits two molecule/surface interactions: a covalent bond on one side of the molecule and a hydrogen bond on the other side. We then investigate the possible preorganization of the molecules before grafting due to the presence of water molecules either in the gas/liquid phase or near the surface. This gives rise to the formation of dimerized chains. We then demonstrate that this preorganization process prevents subsequent lying flat of the molecules to the substrate after grafting. Energetics and associated configurations of the overall deposition process are discussed in detail and provide new insights on the understanding of the formation of self-assembled homogeneous organic films on microelectronics-type substrates.  相似文献   

14.
To distinguish thin deposited film characteristics clearly from the influence of substrate morphological properties, the growth mechanism and the macroscale and nanoscale properties of nanoporous SiO(2) films deposited on nonporous silica (SiO(2)) substrates from chemical precursors Si(OH)(4) and TEOS (tetraethoxysilane) via low-pressure chemical vapor deposition are the primary targets of this study. This work employs a kinetic Monte Carlo (KMC) simulation method coupled to the Metropolis Monte Carlo method to relax the strained silica structure. The influence of the deposition temperature (473, 673, and 873 K) on the properties of the SiO(x) layers is addressed via analysis of the film growth rates, density profiles of the deposited thin films, pore size distributions, carbon depth profiles (with respect to TEOS), and voidage analysis for layers of different thicknesses (8-18 nm). A comparison of simulation with experimental results is also carried out.  相似文献   

15.
Encapsulation methods have shown to be effective in imparting improved stability to metal-halide perovskite nanocrystals (NCs). Atomic layer deposition (ALD) of metal oxides is one of the promising approaches for such encapsulation, yet better control on the process parameters are required to achieve viable lifetimes for several optoelectronic and photocatalytic applications. Herein, we optimize the ALD process of amorphous aluminum oxide (AlOx) as an encapsulating layer for CsPbBr3 NC thin films by using oxygen (O2) as a molecular diffusion probe to assess the uniformity of the deposited AlOx layer. When O2 reaches the NC surface, it extracts the photogenerated electrons, thus quenching the PL of the CsPbBr3 NCs. As the quality of the ALD layer improves, less quenching is expected. We compare three different ALD deposition modes. We find that the low temperature/high temperature and the exposure modes improve the quality of the alumina as a gas barrier when compared with the low temperature mode. We attribute this result to a better diffusion of the ALD precursor throughout the NC film. We propose the low temperature/high temperature as the most suitable mode for future implementation of multilayered coatings.  相似文献   

16.
An important feature of atomic layer deposition (ALD) is the fact that the coating that has been deposited is conformal to the substrate surface. Therefore, prepatterned substrates are usually used for the fabrication of 3D nanostructures using ALD. This article presents a new method to generate 3D silver-silica nanostructures using plasma-enhanced atomic layer deposition of silica with tri(dimethylamino)silane (TDMAS) and oxygen plasma as precursors. For this method, silver nanoparticles are used as templates, and during the deposition of silica, the repeatable process of the formation of metastable silver oxides and their decomposition is involved, leading to strong side reactions and the formation of 3D silver-silica hybrid nanostructures. This method is known as metastable atomic layer deposition (MS-ALD). Unlike the conventional ALD, the coating of MS-ALD is not conformal to the substrate surface. Rather, the 3D nanostructures are self-assembled because of side reactions. The geometry of the formed nanostructures can be easily adjusted by tuning the deposition parameters, such as dose time of both precursors and cycle numbers. In our study, we observed nanosponges with features sizes of up to 4 for less than 45 MS-ALD cycles. Nanowire-like silver-silica hybrid nanostructures are generated at higher cycle numbers with feature sizes of up to 10 μm. A similar trend could be observed for changing the dose time of both precursors of TDMAS and oxygen plasma. The height of the nanostructures increases with dose time of both precursors. In contrast to this trend, the surface coverage declines when the investigated parameters (number of cycles, TDMAS, and oxygen plasma dose time) are increased.  相似文献   

17.
We report on results of a detailed scanning tunnelling microscopy study on the formation, size and size distribution, and internal structure of small bimetallic PtRu clusters on a graphene monolayer film supported on a Ru(0001) substrate. These clusters, with sizes around ~15 (Ru) or ~40 (Pt) atoms per cluster at the lowest coverage, are interesting model systems for the catalytic behaviour of small metal PtRu particles, for example for application in electrocatalytic oxidation reactions. The clusters were generated by sequential deposition of the two metals at room temperature. The data reveal a distinct influence of the deposition sequence on the cluster formation process, with Ru pre‐deposition followed by Pt deposition leading to predominantly bimetallic clusters, possibly with a core–shell‐type structure, while the reverse sequence results in co‐existent mono‐ and bimetallic clusters, where the latter are likely to intermix at the interface. The observations are related to the nucleation process of the respective metals on the templated surface, and the 2D growth behaviour of the two metals.  相似文献   

18.
As atomic layer deposition (ALD) emerges as a method to fabricate architectures with atomic precision, emphasis is placed on understanding surface reactions and nucleation mechanisms. ALD of titanium dioxide with TiCl4 and water has been used to investigate deposition processes in general, but the effect of surface termination on the initial TiO2 nucleation lacks needed mechanistic insights. This work examines the adsorption of TiCl4 on Cl−, H−, and HO− terminated Si(100) and Si(111) surfaces to elucidate the general role of different surface structures and defect types in manipulating surface reactivity of growth and non-growth substrates. The surface sites and their role in the initial stages of deposition are examined by X-ray photoelectron spectroscopy (XPS) and atomic force microscopy (AFM). Density functional theory (DFT) computations of the local functionalized silicon surfaces suggest oxygen-containing defects are primary drivers of selectivity loss on these surfaces.  相似文献   

19.
We report the use of atomic layer deposition (ALD) coating as a nanobiosensor functionalization strategy for enhanced surface immobilization that may enable higher detection sensitivity. Three kinds of ALD coating films, Al(2)O(3), TiO(2), and SiO(2), were grown on the gallium nitride nanowire (GaN NW) surfaces and characterized with high-resolution transmission electron microscopy (HRTEM) and vacuum Fourier transform infrared spectroscopy (FTIR). Results from HRTEM showed that the thicknesses of ALD-Al(2)O(3), ALD-TiO(2) and ALD-SiO(2) coatings were 4-5 nm, 5-6 nm, and 12-14 nm, respectively. Results from FTIR showed that the OH contents of these coatings were, respectively, ~6.9, ~7.4, and ~9.3 times that of piranha-treated GaN NW. Furthermore, to compare protein attachments on the different surfaces, poly(ethylene glycol) (PEG)-biotin was grafted on the OH-functionalized GaN NW surfaces through active Si-Cl functional groups. Streptavidin protein molecules were then attached to the biotin ends via specific binding. The immobilized streptavidin molecules were examined with scanning electron microscopy, HRTEM, and fluorescent imaging. Results from HRTEM and energy-dispersive X-ray revealed that the nitrogen concentrations on the three ALD coatings were significantly higher than that on the piranha-treated surface. Results from fluorescent imaging further showed that the protein attachments on the Al(2)O(3), TiO(2), and SiO(2) ALD coatings were, respectively, 6.4, 7.8, and 9.8 times that of piranha-treated surface. This study demonstrates that ALD coating can be used as a functionalization strategy for nanobiosensors because it is capable of creating functional groups with much higher density compared to widely used acid modifications, and among the three ALD coatings, ALD-SiO(2) yielded the most promising results in OH content and protein attachment.  相似文献   

20.
Reaction mechanisms between dimethylaluminum chloride and deuterated water in the atomic layer deposition (ALD) of Al2O3 were studied at 150-400 degrees C using a quartz crystal microbalance (QCM) and a quadrupole mass spectrometer (QMS). The observed reaction byproducts were DCl and CH3D. QMS showed that about one-third of the chlorine, and half of the methyl ligands were released during the (CH3)2AlCl pulse. The growth rate deduced from the QMS and QCM data was in qualitative agreement with the previously published growth rate from ALD film growth experiments.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号