首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
B‐doped Si multiple delta‐layers (MDL) were developed as certified reference materials (CRM) for secondary ion mass spectrometry (SIMS) depth profiling analysis. Two CRMs with different delta‐layer spacing were grown by ion beam sputter deposition (IBSD). The nominal spacing of the MDL for shallow junction analysis is 10 nm and that for high energy SIMS is 50 nm. The total thickness of the film was certified by high resolution transmission electron microscopy (HR‐TEM). The B‐doped Si MDLs can be used to evaluate SIMS depth resolution and to calibrate the depth scale. A consistency check of the calibration of stylus profilometers for measurement of sputter depth is another possible application. The crater depths measured by a stylus profilometer showed a good linear relationship with the thickness measured from SIMS profiling using the calibrated film thickness for depth scale calibration. The sputtering rate of the amorphous Si thin film grown by sputter deposition was found to be the same as that of the crystalline Si substrate, which means that the sputtering rate measured with these CRMs can be applied to a real analysis of crystalline Si. Copyright © 2005 John Wiley & Sons, Ltd.  相似文献   

2.
We propose a new approach to express SIMS depth profiling on a TOF.SIMS‐5 time‐of‐flight mass spectrometer. The approach is based on the instrument capability to independently perform raster scans of sputter and probe ion beams. The probed area can be much smaller than the diameter of a sputter ion beam, like in the AES depth profiling method. This circumstance alleviates limitations on the sputter beam–raster size relation, which are critical in other types of SIMS, and enables analysis on a curved‐bottomed sputter crater. By considerably reducing the raster size, it is possible to increase the depth profiling speed by an order of magnitude without radically degrading the depth resolution. A technique is proposed for successive improvement of depth resolution through profile recovery with account for the developing curvature of the sputtered crater bottom in the probed area. Experimental study of the crater bottom form resulted in implementing a method to include contribution of the instrumental artifacts in a nonstationary depth resolution function within the Hofmann's mixing–roughness–information depth model. The real‐structure experiment has shown that the analysis technique combining reduction of a raster size with a successive nonstationary recovery ensures high speed of profiling at ~100 µm/h while maintaining the depth resolution of about 30 nm at a 5 µm depth. Copyright © 2015 John Wiley & Sons, Ltd.  相似文献   

3.
Silicon oxynitride has been used as a shallow gate oxide material for microelectronics and its thickness has been reduced over the years to only a few tens of angstroms due to device size scaling. The nitride distribution and density characteristic in the gate oxide thus becomes imperative for the devices. The shallow depth profiling capability using time‐of‐flight secondary ion mass spectrometry (TOF‐SIMS) has huge potential for the nitrogen characterization of the shallow gate oxide film. In this article, both positive and negative spectra of TOF‐SIMS on silicon oxynitride have been extensively studied and it was found that the silicon nitride clusters SixN? (x = 1–4) are able to represent the nitrogen profiles because their ion yields are high enough, especially for the low‐level nitride doping in the oxide, which is formed by the annealing of nitric oxide on SiO2/Si. The gate oxide thickness measured by the TOF‐SIMS profiling method using 18O or CsO profile calibration was found to correlate very well with transmission electron microscope measurement. The nitrogen concentration in the gate oxide measured using the TOF‐SIMS method was consistent with the results obtained using the dynamic SIMS method, which is currently applied to relatively thicker oxynitride films. Copyright © 2012 John Wiley & Sons, Ltd.  相似文献   

4.
The sputter damage profiles of Si(100) by low‐energy O2+ and Ar+ ion bombardment at various angles of incidence were measured using medium‐energy ion scattering spectroscopy. It was observed that the damaged Si surface layer can be minimized down to 0.5–0.6 nm with grazing‐incident 500 eV Ar+ and O2+ ions at 80°. To illustrate how the damaged layer thickness can be decreased down to 0.5 nm, molecular dynamics simulations were used. The SIMS depth resolution estimated with trailing‐edge decay length for a Ga delta‐layer in Si with grazing‐incident 650 eV O2+ was 0.9 nm, which is in good agreement with the measured damaged layer thickness. Copyright © 2004 John Wiley & Sons, Ltd.  相似文献   

5.
Polyatomic primary ions offer low penetration depth and high damage removal rates in some polymers, facilitating their use in the molecular depth profiling of these polymers by secondary ion mass spectrometry (SIMS). This study is the second in a series of systematic characterizations of the effect of polymer chemistry on degradation under polyatomic primary ion bombardment. In this study, time‐of‐flight SIMS (ToF‐SIMS) was used to measure the damage of ~90 nm thick spin‐cast poly(methyl methacrylate), poly(n‐butyl methacrylate), poly(n‐octyl methacrylate) and poly(n‐dodecyl methacrylate) films under extended (~2 × 1014 ions cm?2) 5 keV SF5+ bombardment. The degradation of the poly(n‐alkyl methacrylates) were compared to determine the effect of the length of the alkyl pendant group on their degradation under SF5+ bombardment. The sputter rate and stability of the characteristic secondary ion intensities of these polymers decreased linearly with alkyl pendant group length, suggesting that lengthening the n‐alkyl pendant group resulted in increased loss of the alkyl pendant groups and intra‐ or intermolecular cross‐linking under SF5+ bombardment. These results are partially at variance with the literature on the thermal degradation of these polymers, which suggested that these polymers degrade primarily via depolymerization with minimal intra‐ or intermolecular cross‐linking. Copyright © 2004 John Wiley & Sons, Ltd.  相似文献   

6.
An effect of measurement conditions on the depth resolution was investigated for dual‐beam time of flight‐secondary ion mass spectrometry depth profiling of delta‐doped‐boron multi‐layers in silicon with a low‐energy sputter ion (200 eV – 2 keV O2+) and with a high‐energy primary ion (30 keV Bi+). The depth resolution was evaluated by the intensity ratio of the first peak and the subsequent valley in B+ depth profile for each measurement condition. In the case of sputtering with the low energy of 250 eV, the depth resolution was found to be affected by the damage with the high‐energy primary ion (Bi+) and was found to be correlated to the ratio of current density of sputter ion to primary ion. From the depth profiles of implanted Bi+ primary ion remaining at the analysis area, it was proposed that the influence of high‐energy primary ion to the depth resolution can be explained with a damage accumulation model. Copyright © 2013 John Wiley & Sons, Ltd.  相似文献   

7.
Size‐segregated particles were collected with a ten‐stage micro‐orifice uniform deposit impactor from a busy walkway in a downtown area of Hong Kong. The surface chemical compositions of aerosol samples from each stage were analyzed using time‐of‐flight secondary ion mass spectrometry (ToF‐SIMS) operated in the static mode. The ToF‐SIMS spectra of particles from stage 2 (5.6–10 µm), stage 6 (0.56–1 µm), and stage 10 (0.056–0.1 µm) were compared, and the positive ion spectra from stage 2 to stage 10 were analyzed with principal component analysis (PCA). Both spectral analysis and PCA results show that the coarse‐mode particles were associated with inorganic ions, while the fine particles were associated with organic ions. PCA results further show that the particle surface compositions were size dependent. Particles from the same mode exhibited more similar surface features. Particles from stage 2 (5.6–10 µm), stage 6 (0.56–1 µm), and stage 10 (0.056–0.1 µm) were further selected as representatives of the three modes, and the chemical compositions of these modes of particles were examined using ToF‐SIMS imaging and depth profiling. The results reveal a non‐uniform chemical distribution from the outer to the inner layer of the particles. The coarse‐mode particles were shown to contain inorganic salts beneath the organics surface. The accumulation‐mode particles contained sulfate, nitrate, ammonium salts, and silicate in the regions below a thick surface layer of organic species. The nucleation‐mode particles consisted mainly of soot particles with a surface coated with sulfate, hydrocarbons, and, possibly, fullerenic carbon. The study demonstrated the capability of ToF‐SIMS depth profiling and imaging in characterizing both the surface and the region beneath the surface of aerosol particles. It also revealed the complex heterogeneity of chemical composition in size and depth distributions of atmospheric particles. Copyright © 2014 John Wiley & Sons, Ltd.  相似文献   

8.
This International Standard specifies procedures for estimating three depth resolution parameters, via the leading‐edge decay length, the trailing‐edge decay length and Gaussian broadening, in SIMS depth profiling using multiple delta‐layer reference materials. This International Standard is not applicable to delta‐layers where the chemical and physical state of the near‐surface region, modified by the incident primary ions, is not in the steady state. Copyright © 2005 John Wiley & Sons, Ltd.  相似文献   

9.
SIMS depth profiling during O2 + bombardment has been performed to analyse epitaxially grown Si p-n-p layers, which define the p-channel region in vertical Si-p MOS transistors, as well as to establish “on-chip” depth profiling of the functional vertical device. The SIMS detection limit of 31P in Si, phosphorus used as n-type dopant in the transistor, has been optimised as a function of the residual gas pressure in the SIMS analysis chamber and of the sputter erosion rate. We demonstrate that good vacuum during SIMS analysis combined with high erosion rates allows the simultaneous quantitative SIMS depth profiling of n- and p-type dopant concentrations in the vertical transistor. Small area “on-chip” SIMS depth profiling through the layered structure of Al-contact/TiSi2/Si(p-n-p)/Si-substrate has been performed. Factors influencing the depth resolution during “on-chip” analysis of the transistor are discussed especially in terms of sputtering induced ripple formation at the erosion crater bottom, which has been imaged with atomic force microscopy. Received: 15 August 1996 / Revised: 17 January 1997 / Accepted: 21 January 1997  相似文献   

10.
Polyatomic primary ions have been applied recently to the depth profiling of organic materials by secondary ion mass spectrometry (SIMS). Polyatomic primary ions offer low penetration depth and high damage removal rates in some polymers, but the relationship between polymer chemistry and degradation under polyatomic primary ion bombardment has not been studied systematically. In this study, positive and negative ion time‐of‐flight SIMS (ToF‐SIMS) was used to measure the damage of ~100 nm thick spin‐cast poly(methyl methacrylate) (PMMA), poly(methyl acrylate) (PMA) and poly(methacrylic acid) (PMAA), films under extended (~2 × 1014 ions cm?2) 5 keV SF5+ bombardment. These polymers were compared to determine the effect of the main chain and pendant methyl groups on their degradation under SF5+ bombardment. The sputter rate of PMMA was approximately twice that of PMA or PMAA and the rate of damage accumulation was higher for PMA and PMAA than PMMA, suggesting that the main chain and pendant methyl groups played an important role in the degradation of these polymers under SF5+ bombardment. These results are consistent with the literature on the thermal and radiation‐induced degradation of these polymers, which show that removal of the main chain or pendant methyl groups reduces the rate of depolymerization and increases the rate of intra‐ or intermolecular cross‐linking. Copyright © 2004 John Wiley & Sons, Ltd.  相似文献   

11.
A study of phenylalanine films of different thicknesses from submonolayer to 55 nm on Si wafers has been made using Bin+ and C60+ cluster primary ions in static SIMS. This shows that the effect of film thickness on ion yield is very similar for all primary ions, with an enhanced molecular yield at approximately 1 monolayer attributed to substrate backscattering. The static SIMS ion yields of phenylalanine at different thicknesses are, in principle, the equivalent of a static SIMS depth profile, without the complication of ion beam damage and roughness resulting from sputtering to the relevant thickness. Analyzing thin films of phenylalanine of different thicknesses allows an interpretation of molecular bonding to, and orientation on, the silicon substrate that is confirmed by XPS. The large crater size for cluster ions has interesting effects on the secondary ion intensities of both the overlayer and the substrate for monolayer and submonolayer quantities. This study expands the capability of SIMS for identification of the chemical structure of molecules at surfaces. © Crown copyright 2010.  相似文献   

12.
The surface of an as‐polished and an as‐sintered yttria‐stabilised zirconia pellet was analysed with XPS and TOF‐SIMS (depth profiling and imaging) in order to study the distribution of impurities. The polished sample was slightly contaminated with Na, K, Mg and Ca. The sintered sample showed a thin surface film of segregated species, especially Na, Si and Al. Below the surface film, it was found that the grain boundaries were filled with impurities. The chemical compositions of the as‐polished and as‐sintered surfaces are very different and the surface state should be considered when performing electrochemical measurements. Copyright © 2006 John Wiley & Sons, Ltd.  相似文献   

13.
The capability of secondary ion mass spectrometry (SIMS) to perform atomic and molecular in‐depth analysis in complex nanometric‐metallized thin polymer films used to manufacture capacitors is demonstrated through three different case studies related to failure analysis. The excellent repeatability and sensitivity of the technique allow us to study the degradation process of the nanometric‐metallized layer in the capacitor films and the accurate location of the metal‐polymer interface. The analysis of the sample is challenging due to the extreme difference in conductivity between layers, and the reduced thickness of the metallization grown on top of a rough polymeric base. However, SIMS has provided reliable and reproducible results with relative standard deviation (RSD) values better than 1.5% in the metallic layer thickness estimation. The detailed information of atomic and molecular ion in‐depth distributions provided by SIMS depth profiling has allowed the identification of different factors (demetallization, generation of interstitial oxide regions, and diffusion processes or modification in the metallization thickness) that can be directly related to the origin of the lack of performance of the mounted devices. Copyright © 2010 John Wiley & Sons, Ltd.  相似文献   

14.
Molecular depth profiling of polymers by secondary ion mass spectrometry (SIMS) has focused on the use of polyatomic primary ions due to their low penetration depth and high damage removal rates in some polymers. This study is the third in a series of systematic characterizations of the effect of polymer chemistry on degradation under polyatomic primary ion bombardment. In this study, time‐of‐flight SIMS (ToF‐SIMS) was used to assess 5 keV SF5+‐induced damage of ~90 nm thick spin‐cast poly(2‐hydroxyethyl methacrylate) (PHEMA) and ~130 nm thick trifluoroacetic anhydride‐derivatized PHEMA (TFAA‐PHEMA) films. The degradation of these polymers under extended SF5+ bombardment (~2 × 1014 ions cm?2) was compared to determine the effect of the pendant group chemistry on their degradation. The sputter rate and ion‐induced damage accumulation rate of PHEMA were similar to a poly(n‐alkyl methacrylate) of similar pendant group length, suggesting that the addition of a terminal hydroxyl group to the alkyl pendant group does not markedly change the stability of poly(n‐alkyl methacrylates) under SF5+ bombardment. The sputter rate and ion‐induced damage accumulation rate of TFAA‐PHEMA were much higher than a poly(n‐alkyl methacrylate) of similar pendant group length, suggesting that derivatization of the terminal hydroxyl group can significantly reduce degradation of the polymer under SF5+ bombardment. This result is in good agreement with the literature on the thermal and radiation‐induced degradation of fluorinated poly(alkyl methacrylates), which suggests that the electron‐withdrawing fluorinated pendant group increases the probability of depolymerization. Copyright © 2004 John Wiley & Sons, Ltd.  相似文献   

15.
An indirect, compositional depth profiling of an inorganic multilayer system using a helium low temperature plasma (LTP) containing 0.2% (v/v) SF6 was evaluated. A model multilayer system consisting of four 10 nm layers of silicon separated by four 50 nm layers of tungsten was plasma‐etched for (10, 20, 30) s at substrate temperatures of (50, 75, and 100) °C to obtain crater walls with exposed silicon layers that were then visualized using time‐of‐flight secondary ion mass spectrometry (ToF‐SIMS) to determine plasma‐etching conditions that produced optimum depth resolutions. At a substrate temperature of 100 °C and an etch time of 10 s, the FWHM of the second, third, and fourth Si layers were (6.4, 10.9, and 12.5) nm, respectively, while the 1/e decay lengths were (2.5, 3.7, and 3.9) nm, matching those obtained from a SIMS depth profile. Though artifacts remain that contribute to degraded depth resolutions, a few experimental parameters have been identified that could be used to reduce their contributions. Further studies are needed, but as long as the artifacts can be controlled, plasma etching was found to be an effective method for preparing samples for compositional depth profiling of both organic and inorganic films, which could pave the way for an indirect depth profile analysis of inorganic–organic hybrid structures that have recently evolved into innovative next‐generation materials. Copyright © 2016 John Wiley & Sons, Ltd.  相似文献   

16.
We apply a suite of analytical tools to characterize materials created in the production of microfabricated thin layer chromatography plates. Techniques used include X‐ray photoelectron spectroscopy (XPS), valence band spectroscopy, time‐of‐flight secondary ion mass spectrometry (ToF‐SIMS) in both positive and negative ion modes, Rutherford backscattering spectroscopy (RBS), and helium ion microscopy. Materials characterized include: the Si(100) substrate with native oxide: Si/SiO2, alumina (35 nm) deposited as a diffusion barrier on the Si/SiO2: Si/SiO2/Al2O3, iron (6 nm) thermally evaporated on the Al2O3: Si/SiO2/Al2O3/Fe, the iron film annealed in H2 to make Fe catalyst nanoparticles: Si/SiO2/Al2O3/Fe(NP), and carbon nanotubes (CNTs) grown from the Fe nanoparticles: Si/SiO2/Al2O3/Fe(NP)/CNT. The Fe films and nanoparticles appear in an oxidized state. Some of the analyses of the CNTs/CNT forests appear to be unique: (i) the CNT forest appears to exhibit an interesting ‘channeling’ phenomenon by RBS, (ii) we observe an odd–even effect in the SIMS spectra of Cn species for n = 1 – 6, with the n ≥ 6 ions showing a steady decrease in intensity, and (iii) valence band characterization of CNTs using X‐radiation is reported. Initial analysis of the CNT forest by XPS shows that it is 100 at.% carbon. After one year, only ca. 0.25 at.% oxygen is observed. The information obtained from the combination of the different analytical tools provides a more complete understanding of our materials than a single technique, which is analogous to the story of ‘The Blind Men and the Elephant’. The raw XPS and ToF‐SIMS spectra from this study will be submitted to Surface Science Spectra for archiving. Copyright © 2013 John Wiley & Sons, Ltd.  相似文献   

17.
For more than three decades, time‐of‐flight secondary ion mass spectrometry (ToF‐SIMS) has been used for elemental depth profiling. In recent years, cluster primary ion sources (principally, C60+, Bin+, and Aun+) have become widely available, and they can greatly enhance the signal intensity of molecular ions (10–1000 times). Understanding the performance of cluster ion analysis beams used in elemental depth profiling can greatly assist normal ToF‐SIMS users in choosing the optimal analysis beam for depth profiling work. Presently, however, the experimental data are lacking, and such choices are difficult to make. In this paper, hydrogen and deuterium depth profiling were studied using six different analysis beams—25 keV Bi+, Bi3+, Bi5+, 50 keV Bi32+, 10 keV C60+, and 20 keV C602+. The effort shows that cluster primary ions do enhance H? and D? yields, but the enhancement is only about 1.5–4.0 times when compared to atomic Bi+ ions. Because the currents of atomic ion analysis beams are much stronger than the currents of cluster ion analysis beams for most commercial ToF‐SIMS instruments, the atomic ion analysis beams can provide the strongest H? and D? signal intensities, and may be the best choices for hydrogen and deuterium depth profiling. In addition, two representative nuclides, 30Si and 18O, were also studied and yielded results similar to those of H? and D?. Copyright © 2011 John Wiley & Sons, Ltd.  相似文献   

18.
The accuracy of ultrashallow depth profiling was studied by secondary ion mass spectrometry (SIMS) and high‐resolution Rutherford backscattering spectroscopy (HRBS) to obtain reliable depth profiles of ultrathin gate dielectrics and ultrashallow dopant profiles, and to provide important information for the modeling and process control of advanced complimentary metal‐oxide semiconductor (CMOS) design. An ultrathin Si3N4/SiO2 stacked layer (2.5 nm) and ultrashallow arsenic implantation distributions (3 keV, 1 × 1015 cm?2) were used to explore the accuracy of near‐surface depth profiles measured by low‐energy O2+ and Cs+ bombardment (0.25 and 0.5 keV) at oblique incidence. The SIMS depth profiles were compared with those by HRBS. Comparison between HRBS and SIMS nitrogen profiles in the stacked layer suggested that SIMS depth profiling with O2+ at low energy (0.25 keV) and an impact angle of 78° provides accurate profiles. For the As+‐implanted Si, the HRBS depth profiles clearly showed redistribution in the near‐surface region. In contrast, those by the conventional SIMS measurement using Cs+ primary ions at oblique incidence were distorted at depths less than 5 nm. The distortion resulted from a long transient caused by the native oxide. To reduce the transient behavior and to obtain more accurate depth profiles in the near‐surface region, the use of O2+ primary ions was found to be effective, and 0.25 keV O2+ at normal incidence provided a more reliable result than Cs+ in the near‐surface region. Copyright © 2007 John Wiley & Sons, Ltd.  相似文献   

19.
The relationship between strain and growth conditions in LaCoO3 thin film was obtained to control the magnetic‐electric characteristics. The LaCoO3 thin films on the SrTiO3 substrates have been achieved by the pulsed laser deposition method, and the reflection high‐energy electron diffraction method (RHEED) was applied to monitor the growth process in situ; the layer‐by‐layer growth mode was discovered. The X‐ray diffraction and atomic force microscopy were applied to the phase analysis, and the layer thickness and the layer‐by‐layer growth mode were uncovered. Compared with the 100‐nm LaCoO3 thin films, the strain in the layer‐by‐layer ultra thin film was more controllable. The enhanced magnetic properties of the layer‐by‐layer mode ultra‐thin films could be tested in future work.  相似文献   

20.
A complex poly(vinylidene difluoride) (PVdF)/poly(methyl methacrylate) (PMMA)‐based coil coating formulation has been investigated using time‐of‐flight SIMS (ToF‐SIMS). Employing a Bi3+ analysis source and a Buckminsterfullerene (C60) sputter source, depth profiles were obtained through the polymeric materials in the outer few nanometres of the PVdF topcoat. These investigations demonstrate that the PVdF coating's air/coating interface is composed principally of the flow agent included in the formulation. Elemental depth profiles obtained in the negative ion mode demonstrate variations in the carbon, oxygen and fluorine concentrations within the coating with respect to depth. All three elemental depth profiles suggest that the PVdF coating bulk possesses a constant material composition. The oxygen depth profile reveals the presence of a very thin oxygen‐rich sub‐surface layer in the PVdF coating, observed within the first second of the sputter/etch profile. Retrospectively, extracted mass spectra (from the elemental depth profile raw data set) of the PVdF coating sub‐surface and bulk layers indicates this oxygen‐rich sub‐surface layer results from segregation of the acrylic co‐polymers in the formulation towards the PVdF coating air/coating interface. Molecular depth profiles obtained in both the positive and negative secondary ion modes provide supporting evidence to that of the elemental depth profiles. The molecular depth profiles confirm the presence of a sub‐surface layer rich in the acrylic co‐polymers indicating segregation of the co‐polymers towards the PVdF topcoats air‐coating surface. The molecular depth profiles also confirm that the PVdF component of the topcoat is distributed throughout the coating but is present at a lower concentration at the air‐coating interface and in the sub‐surface regions of the coating, than in the coating bulk. Copyright © 2007 John Wiley & Sons, Ltd.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号