首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
We studied the thermal stability of HfO2 on an InP structure when an Al2O3 interface passivation layer (PL) was introduced. In contrast to the thick (~4 nm) Al2O3‐PL, an almost complete disappearance of the thin (~1 nm) Al2O3‐PL was observed after a post‐deposition anneal at 600 °C. Based on various chemical and electrical analyses, this was attributed to the intermixing of the thin Al2O3‐PL with HfO2, which might have been accompanied by the out‐diffusion of a substantial amount of substrate elements. (© 2012 WILEY‐VCH Verlag GmbH & Co. KGaA, Weinheim)  相似文献   

2.
Atomic layer deposition of HfO2 on unmodified graphene from HfCl4 and H2O was investigated. Surface RMS roughness down to 0.5 nm was obtained for amorphous, 30 nm thick hafnia film grown at 180°C. HfO2 was also deposited in a two-step temperature process where the initial growth of about 1 nm at 170°C was continued up to 10–30 nm at 300°C. This process yielded uniform, monoclinic HfO2 films with RMS roughness of 1.7 nm for 10–12 nm thick films and 2.5 nm for 30 nm thick films. Raman spectroscopy studies revealed that the deposition process caused compressive biaxial strain in graphene, whereas no extra defects were generated. An 11 nm thick HfO2 film deposited onto bilayer graphene reduced the electron mobility by less than 10% at the Dirac point and by 30–40% far away from it.  相似文献   

3.
The kinetic lattice Monte Carlo method for film growth simulation without taking crystallization into account was applied to study the roughness of the HfO2 film grown by atomic layer deposition at 100–500°C from HfCl4 and H2O. The calculations were performed using a simplified kinetic mechanism of the growth of HfO2 films obtained by reducing the detailed kinetic mechanism developed earlier. Ab initio quantum-chemical calculations were performed to determine the kinetic parameters of diffusion processes on the surface of hafnium oxide that could influence film roughness. Because of the special features of atomic layer deposition, the rate of film growth and film roughness were finite even if surface relaxation was ignored. It was found that, irrespective of the temperature, the diffusion of hydrogen and adsorbed HfCl4 complexes did not change the profile of the growing film and only insignificantly increased the mean rate of growth. The results obtained were also qualitatively applicable to zirconium dioxide at fairly low (≤100°C) temperatures in the absence of crystallization.  相似文献   

4.
Sulfur was embedded in atomic‐layer‐deposited (ALD) HfO2 films grown on Ge substrate by annealing under H2S gas before and after HfO2 ALD. The chemical states of sulfur in the film were examined by S K‐edge X‐ray absorption spectroscopy. It was revealed that the valences of S‐ions were mostly –2 at Ge/HfO2 interface (GeSx or HfO2–ySy to passivate the interface), while they were mostly +6 in HfO2 layers (sulfates; HfO2–z(SO4)z). The leakage current density in post‐deposi‐tion‐treated film was lower than that in pre‐deposition‐treated one. This suggests that the passivation of defects in oxide layer by sulfate ions is more effective to lower the leakage current rather than the interface defect passivation by S2– ions. (© 2015 WILEY‐VCH Verlag GmbH &Co. KGaA, Weinheim)  相似文献   

5.
Nanostructured α‐Fe2O3 thin film electrodes were deposited by aerosol‐assisted chemical vapour deposition (AACVD) for photoelectrochemical (PEC) water splitting on conducting glass substrates using 0.1 M methanolic solution of Fe(acac)3. The XRD analysis confirmed that the films are highly crystalline α‐Fe2O3 and free from other iron oxide phases. The highly reproducible electrodes have an optical bandgap of ~2.15 eV and exhibit anodic photocurrent. The current–voltage characterization of the electrodes reveals that the photocurrent density strongly depended on the film morphology and deposition temperature. Scanning electron microscopy (SEM) analysis showed a change in the surface morphology with the change in deposition temperature. The films deposited at 450 °C have nanoporous structures which provide a maximum electrode/electrolyte interface. The maximum photocurrent density of 455 µA/cm2 was achieved at 0.25 V vs. Ag/AgCl/3M KCl (~1.23 V vs. RHE) and the incident photon to electron conversion efficiency (IPCE) was 23.6% at 350 nm for the electrode deposited at 450 °C. (© 2014 WILEY‐VCH Verlag GmbH &Co. KGaA, Weinheim)  相似文献   

6.
Pigment-grade anatase TiO2 particles (160 nm) were passivated using ultra-thin insulating films deposited by molecular layer deposition (MLD). Trimethylaluminum (TMA) and ethylene glycol (E.G) were used as aluminum alkoxide (alucone) precursors in the temperature range of 100–160 °C. The growth rate varied from 0.5 nm/cycle at 100 °C to 0.35 nm/cycle at 160 °C. Methylene blue oxidation tests indicated that the photoactivity of pigment-grade TiO2 particles was quenched after 20 cycles of alucone MLD film, which was comparable to 70 cycles of Al2O3 film deposited by atomic layer deposition (ALD). Alucone films would decompose in the presence of water at room temperature and would form a more stable composite containing aluminum, which decreased the passivation effect on the photoactivity of TiO2 particles.  相似文献   

7.
Cu–Nb–O films with a thickness of ca. 150 nm were prepared on borosilicate glass substrates using CuNbO3 ceramic target at substrate temperature of 500 °C by pulsed laser deposition. The X‐ray diffraction patterns showed that the Cu–Nb–O films were amorphous or an aggregation of fine crystals. The post‐annealed film at 300 °C in N2 gas showed 80% transmission in visible light (band gap = 2.6 eV) and high p‐type conductivity of 21 S cm–1. The Cu–Nb–O film with a thickness of 100 nm, fabricated from the target with a composition of Cu/Nb = 0.9, showed the highest p‐type conductivity of 116 S cm–1. (© 2011 WILEY‐VCH Verlag GmbH & Co. KGaA, Weinheim)  相似文献   

8.
The W(150 nm)/HfO2(5 nm)/Si(100) structures prepared in a single vacuum cycle by rf magnetron sputtering were subjected to rapid thermal annealing in argon. It is found that at an annealing temperature of 950°C, the tungsten oxide WO x phase and the hafnium silicate HfSi x O y phase grow at the W/HfO2 and HfO2/Si(100) interfaces, respectively. Herewith, the total thickness of the oxide layeris 30% larger than that of the initial HfO2 film. In addition, a decrease in the specific capacitance in accumulation C max and in the dielectric constant k (from 27 to 23) is observed. At an annealing temperature of 980°C, intensive interaction between tungsten and HfO2 takes place, causing the formation of a compositionally inhomogeneous Hf x Si y W z O oxide layer and further decrease in C max. It is shown that a considerable reduction in the leakage currents occurs in the W/HfO2/X/Si(100) structures, where X is a nitride barrier layer.  相似文献   

9.
When S-termination on a Ge(1 0 0) surface was desorbed at an elevated temperature and an atomic layer deposition (ALD) HfO2 film was deposited, interfacial thickness was less than 1 nm. As a result, the equivalent oxide thickness (EOT) of the stack on the initially S-terminated surface was thinner than that deposited on the O3-oxidized surface, while HfO2 film thickness was almost identical on both surfaces. Nevertheless, the HfO2 stack on the initially S-terminated surface exhibited improved leakage current characteristics due to an increase in barrier height. Its thinner but robust interface will contribute to the scaling down of gate oxide integrity.  相似文献   

10.
Trimethylaluminum pretreatment prior to HfO2 deposition is introduced for native oxide reduction. It is identified that the trimethylaluminum pretreatment could effectively reduce native oxide, which is transformed to an aluminum oxide interfacial layer. Formation of the thin aluminum oxide layer suppresses Ge diffusion into HfO2, reducing hysteresis in the ca‐ pacitance–voltage curve. Moreover, the device reliability of the trimethylaluminum pretreated sample is improved in a constant current stress test. This work indicates that trimethylaluminum pretreatment is an effective in‐situ method for the gate dielectric stack formation to reduce charge trapping in the HfO2 film on a Ge substrate. (© 2012 WILEY‐VCH Verlag GmbH & Co. KGaA, Weinheim)  相似文献   

11.
In the present paper, we investigate the effect of thermal annealing on optical and microstructural properties of HfO2 thin films (from 20 to 190 nm) obtained by plasma ion assisted deposition (PIAD). After deposition, the HfO2 films were annealed in N2 ambient for 3 h at 300, 350, 450, 500 and 750 °C. Several characterisation techniques including X-ray reflectometry (XRR), X-ray diffraction (XRD), spectroscopic ellipsometry (SE), UV Raman and FTIR were used for the physical characterisation of the as-deposited and annealed HfO2 thin films. The results indicate that as-deposited PIAD HfO2 films are mainly amorphous and a transition to a crystalline phase occurs at a temperature higher than 450 °C depending on the layer thickness. The crystalline grains consist of cubic and monoclinic phases already classified in literature but this work provides the first evidence of amorphous-cubic phase transition at a temperature as low as 500 °C. According to SE, XRR and FTIR results, an increase in the interfacial layer thickness can be observed only for high temperature annealing. The SE results show that the amorphous phase of HfO2 (in 20 nm thick samples) has an optical bandgap of 5.51 eV. Following its transition to a crystalline phase upon annealing at 750 °C, the optical bandgap increases to 5.85 eV.  相似文献   

12.
With increasing annealing temperature (Tanneal), the magnitude of the electric fields for the antiferroelectric‐to‐ferro‐electric (EAF) and ferroelectric‐to‐antiferroelectric (EFA) transition of a 9.2 nm thick Hf0.3Zr0.7O2 film decreased. The energy storage densities of the Hf0.3Zr0.7O2 films crystallized at 400 °C, 500 °C, and 600 °C were as large as 42.2 J/cm3, 40.4 J/cm3, and 28.3 J/cm3, respectively, at the electric field of 4.35 MV/cm. The maximum dielectric constant of the Hf0.3Zr0.7O2 film crystallized at 600 °C was the largest (~46) as it had the smallest EAF and EFA, whereas the leakage current density of the film crystallized at 400 °C was the smallest. The 400 °C of Tanneal was the optimum condition for energy storage application. (© 2014 WILEY‐VCH Verlag GmbH &Co. KGaA, Weinheim)  相似文献   

13.
Gadolinium scandium oxide (Gd-scandate, GdScO3) thin films were grown by atomic layer deposition (ALD) from β-diketonate precursors M(thd)3 (M=Gd, Sc; thd=2,2,6,6-tetramethyl-3,5-heptanedionato) and ozone. The deposition parameters were optimized to produce films with the stoichiometric 1:1 metal ratio and a series of samples with nominal thicknesses of 5, 10, 15, and 20 nm were prepared. At 300 °C the metal precursor pulsing ratio Gd:Sc=5:6 yielded amorphous stoichiometric films and a growth rate of 0.21 Å/cycle. The films stayed amorphous up to 900 °C. The surface was probed with an AFM and the rms roughness was found to be 0.3 nm for the 5–20 nm thick films. The electrical properties of the as-deposited films proved to be very promising, with a dielectric constant of ~22 and leakage current density of 340 μA/cm2, measured at -2 V.  相似文献   

14.
Highly (002)‐oriented Al‐doped zinc oxide (AZO) thin films with the thickness of less than 200 nm have been deposited on an oxygen‐controlled homo‐seed layer at 200 °C by DC magnetron sputtering. With the homo‐seed layer being employed, the full‐width at half maximum (FWHM) of the (002) diffraction peak for the AZO ultra‐thin films decreased from 0.33° to 0.22°, and, the corresponding average grain size increased from 26.8 nm to 43.0 nm. The XRD rocking curves revealed that the AZO ultra‐thin film grown on the seed layer deposited in atmosphere of O2/Ar of 0.09 exhibited the most excellent structural order. The AZO ultra‐thin film with homo‐seed layer reached a resistivity of 4.2 × 10–4 Ω cm, carrier concentration of 5.2 × 1020 cm–3 and mobility of 28.8 cm2 V–1 s–1. The average transmittance of the AZO ultra‐thin film with homo‐seed layer reached 85.4% in the range of 380–780 nm including the substrate. (© 2014 WILEY‐VCH Verlag GmbH & Co. KGaA, Weinheim)  相似文献   

15.
We investigated by Raman spectroscopy (RS) the crystalline quality of CeO2 thin films radio frequency magnetron sputtered on n‐type (111) Si substrates from CeO2 target. The deposition temperature was in the range of 200–800 °C. We also realized structural investigations on CeO2 layers after Rapid Thermal Annealing (RTA) performed in the range of 750–1000 °C for 30 s under nitrogen atmosphere. So this study displays that a high‐growth temperature and a high post‐growth‐RTA temperature improves the crystalline structure of the film. In fact, the best crystalline quality, which is close to the CeO2 target taken as a reference, is obtained for a CeO2 layer deposited at 800 °C and post‐annealed at 1000 °C for 30 s. Copyright © 2008 John Wiley & Sons, Ltd.  相似文献   

16.
HfO2 films 5 nm thick grown on Si(100) substrates by the methods of MOCVD hydride epitaxy and atomic layer deposition (ALD) are studied using X-ray photoelectron spectroscopy combined with Ar+ ion etching and X-ray reflectometry. It is found that (i) the ALD-grown HfO2 films are amorphous, while the MOCVD-grown films show signs of a crystal structure; (ii) the surface of the ALD-grown films is more prone to contamination and/or is more reactive; and (iii) the amount of interfacial silicon dioxide in the case of the MOCVD-grown film is greater than in the case of the films synthesized by ALD. It is also shown that the argon ion etching of the HfO2 film results in the formation of a metallic hafnium layer at the interface. This indicates that HfO2 can be used not only as a gate dielectric but also as a material suitable for fabricating nanodimensional conductors by direct decomposition.  相似文献   

17.
Detailed transmission electron microscopy characterization of HfO2 films deposited on Si(1 0 0) using atomic layer deposition has been carried out. The influence of deposition temperature has been investigated. At 226 °C, a predominantly quasi-amorphous film containing large grains of cubic HfO2 (a0 = 5.08 Å) was formed. Grain morphology enabled the nucleation sites to be determined. Hot stage microscopy showed that both the cubic phase and the quasi-amorphous phase were very resistant to thermal modification up to 500 °C. These observations suggest that nucleation sites for the growth of the crystalline cubic phase form at the growing surface of the film, rather homogeneously within the film. The films grown at higher temperatures (300-750 °C) are crystalline and monoclinic. The principal effects of deposition temperature were on: grain size, which coarsens at the highest temperature; roughness with increases at the higher temperatures due to the prismatic faceting, and texture, with texturing being strongest at intermediate temperatures. Detailed interfacial characterization shows that interfacial layers of SiO2 form at low and high temperatures. However, at intermediate temperatures, interfaces devoid of SiO2 were formed.  相似文献   

18.
The thermal stability and the electrical properties of HfO2 and Hf–aluminate films prepared by the pulsed laser deposition technique have been investigated by X-ray diffraction, differential thermal analysis, capacitance–voltage correlation, leakage-current measurements and high-resolution transmission electron microscopy observation, respectively. A crystallization transformation from HfO2 amorphous phase to polycrystalline monoclinic structure occurs at about 500 °C. In contrast, the amorphous structure of Hf–aluminate films remains stable at higher temperatures up to 900 °C. Rapid thermal annealing at 1000 °C for 3 min leads to a phase separation in Hf–aluminate films. Tetragonal HfO2(111) is predominant, and Al2O3 separates from Hf–aluminate and is still in the amorphous state. The dielectric constant of amorphous HfO2 and Hf–aluminate films was determined to be about 26 and 16.6, respectively, by measuring a Pt/dielectric film/Pt capacitor structure. A very small equivalent oxide thickness (EOT) value of 0.74 nm for a 3-nm physical thickness Hf–aluminate film on a n-Si substrate with a leakage current of 0.17 A/cm2 at 1-V gate voltage was obtained. The interface at Hf–aluminate/Si is atomically sharp, while a thick interface layer exists between the HfO2 film and the Si substrate, which makes it difficult to obtain an EOT of less than 1 nm. PACS 77.55.+f; 81.15.Fg; 73.40.Qv  相似文献   

19.
The growth of CaF2 on vicinal Si (111) surfaces was studied by scanning tunneling microscopy (STM) and atomic force microscopy (AFM) for the temperature range from 300 to 750 °C. In the submonolayer range a transition from terrace to step nucleation is observed for increasing temperature. The first monolayer grows in the step-flow growth mode since second layer islands are not nucleated before completion of the wetting layer. For the subsequent growth of CaF2 on the CaF interface layer, substrate-induced steps do not act as preferential nucleation sites, but rather as growth barriers. Thus CaF2 films grow very inhomogeneously at high temperatures. At lower deposition temperatures, the film homogeneity increases due to the increased (homogeneous) nucleation rate on terraces. The lattice mismatch leads to (lateral) relaxation of thicker CaF2 film close to substrate steps. In addition, CaF2 self-decoration effects are caused by the relaxed regions close to the film steps at temperatures above 500 °C. Received: 7 August 2001 / Accepted: 23 October 2001 / Published online: 3 April 2002  相似文献   

20.
Al2O3 incorporated HfO2 films grown by atomic layer deposition (ALD) were investigated by high-resolution X-ray photoelectron spectroscopy (HRXPS). The core level energy state of a 15 Å thick film showed a shift to higher binding energy, as the result of a silicate formation and Al2O3 incorporation. The incorporation of Al2O3 into the HfO2 film had no effect on silicate formation at the interface between the film and Si, while the ionic bonding characteristics and hybridization effects were enhanced compared to a pure HfO2 film. The dissociation of the film in an ultrahigh vacuum (UHV) is effectively suppressed compared to a pure HfO2 film, indicating an enhanced thermal stability of Hf-Al-O. Any dissociated Al2O3 on the film surface was completely removed into the vacuum by vacuum annealing treatment over 850 °C, while HfO2 contributed to Hf silicide formation on the film surface.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号