首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
A technique based on the optical density (D) measurement of the etched track is useful for charged particles spectroscopy using SSNTD. It was shown that the stopping power of alpha particles in CR-39 is proportional to D. We measured the optical density and derived an expression to estimate the range of alpha particles in CR-39 detector as a function of the bulk etching rate and etching time. The relation between the etching time, track parameters (depth, radius) and D for different alpha particles energy and etching conditions were studied. A relation describing D as a function of track size is proposed.  相似文献   

2.
Modern dosimetry needs efficient detectors for registering light ions, especially light ions having energies of up to 10 MeV/amu. That is why this research pays attention to the development of materials for such a task. In this work, a CR-39 detector, which is the most efficient detector, was used. It was irradiated with low-energy protons. Using sensitive electrolytic etching and electron scanning microscopy, a complete analysis was carried out of the process of the formation of a pore starting from its opening to the final stage of its formation. The process of sequential track breakthroughs was observed. The data obtained on the shape of the pore and the parameters of its formation allow simulation of the process of etching. The etch rates and sensitivity of etching are determined. The influence of energy losses on the geometry of the pore is considered.  相似文献   

3.
In this work, new empirical equation describing the charged particles radiation track development against etching time and track longitudinal depth are presented. The equation involves four free fitting parameters. It is shown that this equation can reproduce tracks depth formed on the CR-39 by alpha particles at different energies and etching times. Parameters values obtained from experimental data can be used to predict etched track lengths at different energies and etching times. The empirical equation suggested is self consistent as far as reproducing all features of track depth development as a function of etching time and energy are concerned.  相似文献   

4.
An investigation of vertical distribution of alpha-emitting nuclides for various types of soils using solid state nuclear track detectors (SSNTD) has been carried out. As SSNTD the DNC-film was used. Experimental system for measurement of total alpha activity in the samples of soils, including devices and equipment for selection of probes, exposition, chemical etching of detectors, automated accounting of tracks has been developed. The method of determination of specific activity of alpha emitting nuclides has been created. A comparison results of measurements with the method pointed out and radiochemical method as well as x-y-spectrometry method has been carried out.  相似文献   

5.
Abstract

Studies concerning track shapes, etching characteristics and track density due to spontaneous fission of uranium along various crystallographic planes of apatite are represented. The studies were carried out on large complete crystals as well as on small grains of apatite belonging to various geological origins. Three track types (hexagonal, pyramidal and needle type) have been identified along various crystallographic planes.  相似文献   

6.
A review was given for our recent studies on the latent tracks in CR-39 nuclear track detector. The radial size of track core has been determined through UV spectral measurements combined to the model of track overlapping and by AFM observations of slightly etched detectors. The track core radius was found to be about a few nano-meters and almost proportional to the cubic root of stopping power. As a control study, the etching properties of CR-39, irradiated by low-LET radiation, has been examined. The observed depth dependence and dose-rate dependence of the bulk etch rate of the irradiated CR-39 were explained that the damage formation process was governed by the reaction between the radiation induced radicals and the oxygen supplied from the air. This indicated that latent tracks in CR-39 are produced through local radiation induced oxidation process along the ion paths. Studies on vibration spectra, near-IR, FT-IR and Raman spectra, of CR-39 have also been carried out to estimate the chemical structure of the latent tracks. The creation of OH group in irradiated CR-39 has been confirmed.  相似文献   

7.
Chemical etching characteristics of mica, Lexan and cellulose acetate have been studied for fission fragment tracks. Under suitable etching conditions a few critical etching parameters for these three track detectors have been determined. An empirical relationship between complete etching time and the etching temperature has been established.  相似文献   

8.
Nanostructured porous silicon (NPSi) is versatile nanomaterials, and attractive area in device application after visible luminescence was observed from NPSi by Canham (1990). NPSi has been prepared by electrochemical techniques with silicon wafer as a based material. The electrolyte solution consists of ethanol and hydrofluoric acid at volume ratio of 1:1. The etching time was varied while other preparation parameters were fixed to produce different porosity of NPSi samples. The structural properties of samples were measured using field emission scanning electron microscope and Raman spectrometer. The surface structural study has shown the surface roughness increase at inertial stage but decrease gradually with longer etching time. However, nanostructured surface was decreased with increasing of etching time. From side view measurement, the nanopillar of NPSi becomes smaller size while increase of etching time. The crystallinity of PSi is observed by Raman scattering varied with different etching time. The photoluminescence measurement will be carried out to study the correlation between optical and structural properties.  相似文献   

9.
Heavy ion recording in dielectric isotropic detectors has a wide range of applications in uranium cartography or dating. In this study, solid state nuclear tracks detectors (SSNTDs) have been applied to localise neptunium-237, in vivo, by means of the neutron-induced fission cartography of neptunium-237 nuclei in organ sections. At the cellular level, a precise localisation can only be achieved with a good understanding of the etch pit evolution during the chemical etching process.

A tailor-made software for modelling the etching process has been developed to simulate the profile of an etched track produced by a neutron-induced fission fragment. This software is based on a model that considers the evolution of the track etching velocity along the damage trail in order to perfectly model the shape of the track.  相似文献   


10.
11.
The effect of additives and cure cyle parameters on pre and post irradiation annealing characteristics of CR-39 detectors has been investigated. Effect of pre and post irradiation annealing on bulk etch rate, sensitivity, track diameter and etching behaviour of various CR-39 detectors has been investigated.  相似文献   

12.
Photon induced modifications in Triafol-TN and Triafol-BN polymers have been studied in the dose range of 101–106 Gy at room temperature using a 60Co source. To monitor the chemical and structural changes induced by gamma rays, UV, IR, and ESR studies were carried out. Thermal studies were also conducted for understanding the effects of gamma irradiations on these polymers. Variation of track etching characteristics and activation energy for bulk etching have been studied at different gamma rays doses. The experimental results are presented and discussed.  相似文献   

13.
An experimental determination of the aging process of cellulose nitrate detector material was based on the examination of special properties of the LR 115 solid state nuclear track detectors (SSNTDs) of various ages up to 18 years. The examined relevant parameters are the bulk etching rate vb and the track etching rate vt. These parameters are responsible for the appearance, the size and the registration efficiency of tracks of -particles from radon gas in the detector. To find a correlation between these material parameters and the detector sensitivity an experimental calibration of indoor room and outdoor soil detector devices based on LR 115 took place at the Umweltforschungszentrum Leipzig-Halle (Germany). To avoid routine calibration work in external radon exposure facilities a correction of the age dependent calibration factors with the material parameters measured in one's own laboratory was targeted. In this study a general age dependence, however, was not found. The following statements for practical applications can be made. (i) the bulk etching rate vb for detectors of the same batch has a depth dependence and this dependence is constant over 2 years (LR 115 September 1994). (ii) detectors of different batches older than 5 years and stored at room temperature show an odd vb behaviour when vb is used for describing track shapes. (iii) the calibration factor of detectors of different batches that were stored at about +4°C is constant over 5 years (LR 115 September 1994 and February 1999, Table 2).

The conclusion is that LR 115 detectors not older than 5 years and stored in a refrigerator at about +4°C should be preferred for radon measurements. Furthermore these detectors should be recalibrated every year and the microscope work of this calibrations should be performed by the same person who performs the measurements.

In addition, a phenomenon related to fundamental track formation mechanisms was found, that the time straggling of the time tthrough when vertical tracks penetrate the 12 μm thick detector layer is independent of the age of the detectors and the energy of the -particle at the detector surface.  相似文献   


14.
In this study, two factors which can influence fission track etching in apatite are considered: track segmentation (induced by thermal annealing) and variable radial etching speed (due to the reagent diffusion during the etching process).

During the latent track annealing, two distinguishable steps can be identified by measuring track lengths or diameters. A length reduction is firstly observed, followed by a segmentation process which leads to the emergence of disrupted regions (gaps).

At present time, electron microscopy studies on fission tracks in apatite show profiles which lead to hypotheses of a variable radial etching speed versus depth. These variations can be interpreted in terms of acid diffusion along the track. Moreover, the existence of several bulk etching speeds related to crystallographic orientation is approached.

Taking into account these different points, a software program, integrating parameters as original track orientation and depth, number of gaps, etc., is developed in order to model the track profile evolution during the etching process. Comparison with experiments in Durango apatite (Mexico) are also undertaken.  相似文献   


15.
《Surface science》1986,177(3):625-641
A new method for the analysis of ellipsometric data is considered. It is based on the possibility of experimental determination of complex reflection coefficients for the systems under investigation. Various models of reflecting systems have been analysed and it has been shown that the method permits simplification of the procedure for determining unknown parameters of the system and, in some cases, allows an analytical solution to be obtained. The results of experimental study of some structures demonstrating the possibilities of the method are discussed. Numerical analysis of the influence of experimental errors on the results of the calculations has been carried out and the possibility of practical application of the method for the investigation of real systems has been shown.  相似文献   

16.
In this paper, the numerically efficient finite element based full vectorial modal and propagation approaches are used in order to analyze and design single and multiple sectioned passive polarization rotators (PRs). The effects of different waveguide parameters, such as the waveguide width, etching depth, sidewall slant angle and refractive index contrast on the PR performance in terms of polarization conversion efficiency, section length and losses are investigated in detail in order to obtain optimum PR designs. Moreover, a thorough sensitivity study of the fabrication tolerances and the operating wavelength on the performance of the PRs has also been carried out.  相似文献   

17.
The directly electrically heated etching stand has been developed for the electrochemical treatment of track detectors to avoid the time consuming overnight pre-heating and to simplify the procedure. The large plate electrode containing several heating elements on its rear side creates the main part of the etching stand. The temperature of the electrode connected to the control unit is variable within 30–70°C. Two types of etching stands were tested. The uniformity of the temperature distribution on the electrode surface, heating rate and stability of temperature were studied as the main parameters and the results are presented. The technical solution allows to construct smaller (even portable) devices for the electrochemical etching.  相似文献   

18.
In the Laboratory of Nuclear Microanalysis, we have developed two techniques for neutron dosimetry; the first for low fluence, the second for high fluence. These two techniques use a Solid State Nuclear Track Detector (SSNTD): the CR-39. The low fluence technique is based on the measurement of etched tracks resulting from a neutron-proton conversion. A Monte Carlo code performs a simulation of the (n,p) collision in the detector, and a numerical computer code of latent track etching allows the evaluation of the etched track parameters. The object of this is to obtain characteristics of a neutron fluence from the measured etched track parameters. When there is a high fluence and high doses, CR-39 is unsuited for optical microscopy analysis. This is because of track overlapping which makes it impossible to carry out counting and exploitation. We have therefore developed a new method permitting the reading of samples based on the measurement of the angular distribution of coherent light (He---Ne laser) transmitted through the irradiated etched SSNTD. We present these two techniques and our initial results.  相似文献   

19.
In this paper, we present an experimental study on the chemical and electrochemical etching of silicon carbide (SiC) in different HF-based solutions and its application in different fields, such as optoelectronics (photodiode) and environment (gas sensors). The thin SiC films have been grown by pulsed laser deposition method. Different oxidant reagents have been explored. It has been shown that the morphology of the surface evolves with the etching conditions (oxidant, concentration, temperature, etc.). A new chemical polishing solution of polycrystalline 6H-SiC based on HF:Na2O2 solution has been developed. Moreover, an electrochemical etching method has been carried out to form a porous SiC layer on both polycrystalline and thin SiC films. The PL results show that the porous polycrystalline 6H-SiC and porous thin SiC films exhibited an intense blue luminescence and a green-blue luminescence centred at 2.82 eV (430 nm) and 2.20 eV (560 nm), respectively. Different device structures based on both prepared samples have been investigated as photodiode and gas sensors.  相似文献   

20.
The fission track technique was applied to the particle analysis for safeguards environmental samples to obtain information about the isotope ratio of nuclear materials in individual particles. To detect the particles containing nuclear material with high detection efficiency and less particle loss, the influence of uranium enrichments on etching conditions of a fission track detector made of polycarbonate was investigated. It was shown that the increase in uranium enrichment shortened the suitable etching time both for particle detection and for less particle loss. From the results obtained, it was suggested that the screening of the uranium particles according to the enrichment is possible by controlling the etching time of the detector.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号