首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到18条相似文献,搜索用时 126 毫秒
1.
高相铭  李国伟 《电子工程师》2007,33(6):19-21,33
介绍了一种用CPLD(复杂可编程逻辑器件)作为核心控制电路的测试系统接口,通过对CPLD和TTL电路的比较及CPLD在系统中实现的强大功能,论述了CPLD在测试系统接口中应用的可行性和优越性,简单介绍了VHDL在CPLD设计中的应用。实验证明用CPLD实现的电路具有集成度高、灵活性强、可靠性高、易于升级和扩展等特点。给出了主要电路图和时序仿真图。  相似文献   

2.
介绍了基于CPLD扩展8031单片机接口实现远程数据采集功能的系统。该系统采用双CPU控制,下位机提供8路数据采集,上位机控制下位机井进行数据处理,CPLD作为扩展接口实现数据显示、键盘输入、打印输出和系统报警等工作。通过单片机通讯的方式实现数据与控制指令的远距离传榆。  相似文献   

3.
《电子产品世界》1997,(6):34-37,79
在系统设计方面,已到了复杂性程度或所用的芯片数需要对所用技术进行重新评估的时候。就采用低复杂性PLD的设计人员来说,总是把眼光偏向一方,试图确定更加复杂的CPLD是否能用来替代多个复杂性较低的器件,且不放慢系统的速度。在适用的情况下,这种替代方案会缩减系统芯片数和成本,而不会降低系统性能。 CPLD正在开始成为一种用来替代目前仍然由很多设计人员使用的PAL/GAL级PLD的器件。当然,目前有(将来有)很多只用一、二个PLD的场合,从经济角度考虑、几乎没有什么使用CPLD的刺激力量。但是,有更多的系统采用6个以上的快速PLD,这些系统就可能因从PAL/GAL转移到CPLD而受益。用多个PAL/GAL做成的电路所拥有的资源一般要多于必不可少的资源,因为各种功能都是分配给多个芯片。 通过多个互连器件处理信号而增  相似文献   

4.
从三个方面讨论了PLD设计中多路复用器的实现方法,说明了每种方法的特点,第一种实现方法的特点是针对FLEX系列器件结构在查找表结构的基础上,对工作速度和占芯片面积进行优化。第二种是用VHDL语言描述来实现,其特点是抽象描述能力强,覆盖面广,当电路变得复杂时,相对第一种方法要简单一些,第三种方法是使用MAX+PLUSⅡ软件中提供的宏模块,这种方法最简单,实用于各类器件,易于掌握,这三种方法在PLC设计中具有一定的代表性。  相似文献   

5.
基于CPLD的序列信号检测器设计与实现   总被引:2,自引:0,他引:2  
罗朝霞 《现代电子技术》2005,28(11):59-60,63
采用EDA(Electronic Design Automation)技术和可编程逻辑器件进行通信系统的设计已经得到了越来越广泛地应用。通过用VHDL硬件描述语言和Altera公司生产的复杂可编程逻辑器件(Complex Programmable Logic Device)EPM7032LC44—6设计序列信号检测电路的过程,详细介绍了Max plusⅡ集成开发软件在现代数字系统设计中的应用,并对使用该软件开发设计平台进行设计时每一步容易出现的问题进行了详细讨论。  相似文献   

6.
采用VHDL语言设计了CPLD和LCD接口。设计中CPLD选用了Altera公司生产的EPM9560器件,采用320×240点阵图形式液晶屏进行显示,通过软件仿真验证了该程序设计的可靠性。验证了利用VHDL语言设计的电路能够灵活地修改参数,而且大幅提高了电路设计的通用性和可移植性。  相似文献   

7.
李柱 《信息通信》2014,(6):67-67
文章的主要内容就是应用可编程器件CPLD来做PCI总线接口设计,并提出了一种以目前流行的可编程器件开发工具、硬件描述语言VHDL作为开发手段,实现PCI总线接口功能的设计。  相似文献   

8.
基于CPLD的ADSP21060与SDRAM接口设计   总被引:3,自引:0,他引:3  
张华春  雷宏  孙长瑜 《信号处理》2002,18(6):551-555
ADSP21060是广泛应用于实时信号处理系统中的数字信号处理器。对于图像信号的处理,数字信号处理系统要求具有大容量的存储器。SDRAM具有高速和大容量的特点,但是ADSP21060其接口与SDRAM不兼容。在ADSP21060的外存储器扩展中,本文基下CPLD设计力法,给出了所实现的ADSP21060与SDRAM之间的接口电路设计。  相似文献   

9.
PCI总线接口的CPLD设计   总被引:1,自引:0,他引:1  
详细阐述了利用Altera公司的FLEX10K芯片,以AHDL设计PCI接口的方法。从总体设计、用户接口的通信协议、状态机等方面对从PCI接口的设计方法作了全面的介绍。  相似文献   

10.
在电路设计中,I2C总线是比较常用的两线式串行通信方式,大多数的CPU都擅长于并口操作,不具备直接操作I2C总线接口的能力。为了使不具备I2C总线接口能力的CPU通过对并口的简单操作实现对I2C总线接口的控制,在分析I2C总线常用工作模式的基础上,设计实现工作于主机模式的,以CPLD完成I2C总线开始信号、结束信号的输出,以及并行数据到I2C总线模式串行数据转换或I2C模式串行数据到并行数据转换的I2C接口模块。采用该模块,可以使不具备I2C总线接口的CPU通过并口方便地控制I2C总线设备,简化系统程序设计。  相似文献   

11.
介绍一种基于单片机与CPLD结合的传感器网络节点的无线控制系统.节点中单片机对无线命令进行译码,并对外围子模块进行控制,CPLD扩展控制系统的并行I/O端口、SPI串行接口等,从而根据系统需要可扩展无线传感网络节点的外围功能模块.采用单片机与CPLD模块化设计方法,降低了设计成本,方便电路的多次改进,为传感器网络节点在不同场合的应用提供了一种通用的方法.  相似文献   

12.
针对单片机进行高频测量存在的响应速度问题,利用CPLD适合精确、高速计数的特点,提出了一种基于单片机和CPLD的频率测量电路,通过CPLD对被测信号分频再与单片机计数值进行比较,实现了高精度、等精度测量,同时又解决了高频测量中存在的问题,满足了系统对响应时间的要求。该项研究成果已经在所设计信号源产品中得到了应用。  相似文献   

13.
介绍了一种基于CPLD的TMS320LF2407A型DSP在人机接口模块中的应用,以CPLD为桥梁,实现了快速DSP和慢速器件的接口模块设计,并给出了DSP与人机接口模块通过CPLD接口的硬件原理图.  相似文献   

14.
基于CPLD的VXI总线接口的研制   总被引:1,自引:0,他引:1  
文章以VXI总线开关矩阵模块为例,介绍了其于可编程逻辑器件的VXIbus寄存器基接口的开发过程。给出了选用ALTERA公司的可编程逻辑器件FLEX 10K10在MAXPLUSII环境下,结合VXIbus时序对接口逻辑电路进行波形仿真和时序分析的方法。  相似文献   

15.
基于CPLD的8位总线接口设计   总被引:2,自引:0,他引:2  
基于CPLD技术设计了双通道总线接口并给出谊接口的通道模型和控制方法。本接口采用存储嚣访问模式,克服了某些CPU外围通信接口少的缺点,易于实现双CPU间的总线对接,且无需考虑复杂的总线仲裁机制。谊接口适用于双主机间的数据传榆,具有使用方便、速率匹配、实时响应等优点。同时采用VHDL硬件描述语言,使设计易于模块化,也为基于CPLD的总线转换及其他接口电路的设计提供了新的思路。  相似文献   

16.
一种基于CPLD的伪随机序列发生器   总被引:3,自引:0,他引:3  
介绍了一种利用 EDA技术 ,在 Altera的 MAX70 0 0 S系列芯片上实现的伪随机序列发生器 ,为产生低成本的电子系统测试信号提供了一种简单易行的方法  相似文献   

17.
介绍了PCM CODEC芯片TP3067的功能,通过对TP3067有关管脚的设置,进行TP3067与CPLD的接口设计,实现了数字语音设备语音终端的设计.  相似文献   

18.
三线制同步串行通信控制器接口设计   总被引:1,自引:0,他引:1  
为解决没有三线制同步串口的微处理器与外围串行设备通信困难的问题,通过研究三线制同步串行通信的机理,首先构建基于传统设计所实现的硬件电路接口,然后利用可编程逻辑器件PLD,设计基于CPLD/FPGA的三线制同步串行通信控制嚣通用接口.通过对各功能模块的详细介绍,实现硬件电路的小型化和灵活移植性,减小了整个系统的体积和功耗.经实际项目使用,结果表明基于该接口结构实现了微处理器与外围串行设备间的三线制同步串行通信的功能.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号