首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到18条相似文献,搜索用时 112 毫秒
1.
利用FPGA芯片及D/A转换器,采用直接数字频率合成技术,设计并实现了一个频率、幅值可调的信号发生器,同时阐述了该信号发生器的工作原理、电路结构及设计思路。经过电路调试,输出波形达到技术要求,证明了该信号发生器的有效性和可靠性。  相似文献   

2.
钱杰 《电子世界》2014,(4):122-123
本文介绍了基于FPGA和MCU技术的直接数字合成信号发生器的设计,详细分析了其主要模块的系统结构、软硬件设计和具体实现电路。  相似文献   

3.
基于DDS技术的正弦信号发生器设计   总被引:4,自引:0,他引:4  
介绍了基于直接数字频率合成技术(DDS)的正弦信号发生器的工作原理、系统结构及软、硬件设计,它采用AD9850为核心芯片,测试结果表明系统具有高频率稳定性的主要特点。输出信号稳定不失真,控制灵活,具有广泛的实际应用前景。  相似文献   

4.
贾佳 《电子设计工程》2012,20(14):170-172
将虚拟仪器技术同FPGA技术结合,设计了一个频率可控的DDS任意波形信号发生器。在阐述直接数字频率合成技术的工作原理、电路构成的基础上,分别介绍了上位机虚拟仪器监控面板的功能和结构,以及实现DDS功能的下位机FPGA器件各模块化电路的作用。经过设计和电路测试,输出波形达到了技术要求,工作稳定可靠。  相似文献   

5.
王娟  郭熙宝 《电子技术》2011,38(9):51-52
文章介绍了一种基于DDS的正弦信号发生器的设计方法,对此正弦信号发生器的硬件部分进行了详细的论述,并给出了系统的软件流程框图.仿真及硬件验证的结果表明,此正弦信号发生器精度高,抗干扰性好,可作为一般的正弦信号发生器使用.此设计方案具有一定的实用性.  相似文献   

6.
基于FPGA的DDS正弦信号发生器的设计和实现   总被引:17,自引:0,他引:17       下载免费PDF全文
余勇  郑小林   《电子器件》2005,28(3):596-599
利用FPGA芯片及D/A转换器,采用直接数字频率合成技术,设计实现了一个频率、相位可控的正弦信号发生器,同时阐述了直接数字频率合成(DDS)技术的工作原理、电路结构,及设计的思路和实现方法。经过设计和电路测试,输出波形达到了技术要求,控制灵活、性能较好,也证明了基于FPGA的DDS设计的可靠性和可行性。  相似文献   

7.
基于FPGA的DDS信号发生器系统的设计   总被引:1,自引:0,他引:1  
直接数字频率合成(DDS)技术,已成为频率合成技术的主流方向,现场可编程门阵列(FPGA)技术具有强大的硬件逻辑功能.文章主要阐述DDS的工作原理,基于FPGA设计DDS信号发生器的主要环节.简单介绍了运用Altera公司的QuartusⅡ软件平台,通过硬件设计语言(VHDL)设计频率寄存器、加法器、相位寄存器等功能模块,并将各部件编译综合为一个元件的方法.实验证明,输出波形质量高,效果好.  相似文献   

8.
章宇杰  支敏 《电子与封装》2013,(10):31-32,43
文中所设计的正弦信号发生器电路是采用现场可编程门阵列(FPGA)实现的一个数字频率合成器。其主要由相位累加器、加法器、波形存储器等组成。实验所设计出的DDS具有变频范围广、频率步进小和频率精度高、频率和相位可调等特点,而且其最后输出的正弦信号频率高,可以达到12.5MHz。  相似文献   

9.
分析了DDS技术的基本原理和基本结构,介绍了一种基于FPGA的DDS信号发生器设计方法。以FPGA芯片EP2C35F672C8为核心器件,辅以必要的模拟电路,在Quartus II9.0平台下实现系统设计的综合与仿真。实验测试表明该信号发生器输出的波形具有平滑、稳定度高和相位连续等优点,具有一定的工程实践意义。  相似文献   

10.
给出了采用DDS设计思路和FPGA+MCU来设计一款数字移相正弦信号发生器的具体方法。本系统只存储0-π/2的采样值,然后通过变换得到0—2π的采样值,从而节约了波形存储器的容量。另外,系统还在相位累加器输出的相位序列上加入了随机抖动序列,从而降低了DDS相位截断误差带来的杂散。  相似文献   

11.
一种基于FPGA的正弦波信号发生器的设计   总被引:1,自引:0,他引:1  
现代测试领域中,经常需要信号发生器提供多种多样的的测试信号去检验实际电路中存在的设计问题。传统的信号发生器多采用模拟电路搭建。以正弦波信号发生器为例,结合DDS直接数字合成技术,基于FP-GA设计其他外围电路构成正弦波信号发生器。相比传统的模拟信号发生器,该电路具有设计简单,升级容易,波形稳定等特点。  相似文献   

12.
王嘉成  于鹏 《电子科技》2013,26(10):128-130,135
介绍了以FPGA为核心器件,采用Verilog HDL作为硬件描述语言的移相信号发生器的设计。该移相信号发生器以DDS模型作为基本原理,利用FPGA的嵌入式存储器块作为波形数据的存储单元,最终通过D/A转换单元可输出正弦波、三角波、方波等任意波形的同频率原始参考信号和移相信号两路波形,除D/A转换器及相关电路外,所有功能电路模块均集中在一片FPGA中实现。与传统移相信号发生器相比,该设计的频率分辨度高、信号频谱良好、易于实现且成本低廉。  相似文献   

13.
基于FPGA的DDS信号发生器设计   总被引:1,自引:3,他引:1  
介绍基于DDS的信号发生器工作原理和设计过程,并对关键模块及外围电路进行了仿真和误差分析.经功能验证和分析测试,达到了预定的各项技术指标.旨在建立一种以FPGA为核心,功能可裁剪、波形任意调整的高性能信号发生器设计方法.采用该设计法将有效地降低开发成本,提高设计效率,并具有一定的工程指导意义和实用价值.  相似文献   

14.
针对专用DDS芯片功能单一的缺点,提出了基于FPGA的DDS信号发生器的设计方案。利用Xilinx公司的ISE完成了系统核心部分数控振荡器的设计,其中波形存储器通过调用IP核实现,方便且集成度高。通过功能模块仿真与最终完整电路测试,表明基于FPGA的DDS信号发生器稳定度高,分辨率高以及转换速度快,而且能够输出任意波形的信号。由于FPGA实现软核处理器,因此可以方便地对DDS进行修改与优化,具有无与伦比的灵活性。  相似文献   

15.
介绍了MSK数字调制方式的原理和DDS芯片AD9854的结构特点,提出用DDS和AD9854来实现MSK调制,详细介绍了FPGA功能模块的软件编程。  相似文献   

16.
基于DDS技术正弦信号发生器的设计   总被引:1,自引:1,他引:1  
为了能够方便地产生波形平滑、频率稳定的正弦信号波形,提出了一种基于DDS技术的正弦信号发生器的设计方法。介绍了DDS技术在波形产生功能电路中的应用,并对FPGA实现DDS功能做了具体的说明。介绍了DDS技术的基本原理,论述了基于FPGA实现正弦/余弦信号发生器和32位序列信号发生器的设计方案。最后,实验结果表明:采用该方法设计的正弦波形发生器输出的波形与传统的正弦波形发生器相比,具有波形平滑、波形稳定度高、频率稳定度和分辨率高等诸多优点。  相似文献   

17.
介绍采用DDS技术、FPGA芯片和D/A转换器,设计一个频率、相位可控的多种输出波形信号发生器。基于QuartusⅡ软件设计实现,并下载至FPGA器件,使用SignalTapⅡ嵌入式逻辑分析仪进行实时测试。经过软件仿真和电路测试,输出波形达到了技术要求,能够满足多种试验的需要,且性能稳定,使用灵活,节约试验成本。  相似文献   

18.
根据现代电子系统对信号源的频率稳定度、准确度及分辨率越来越高的要求,结合直接数字式频率合成器(DDS)的优点,利用FPGA芯片的可编程性和实现方案易改动的特点,提出了一种基于FPGA和DDS技术的任意波形发生器设计方案。采用VHDL和原理图输入方式,在QuartusⅡ平台下实现该设计的综合和仿真,用Matlab对仿真数据进行处理及显示,验证了设计的正确性。通过设置参数可以灵活控制输出频率和分辨率。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号