首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 0 毫秒
1.
We have developed multiple short‐period delta layers as a reference material for SIMS ultra‐shallow depth profiling. Boron nitride delta layers and silicon spacer layers were sputter‐deposited alternately, with a silicon spacer thickness of 1–5 nm. These delta‐doped layers were used to measure the sputtering rate change in the initial stage of oxygen ion bombardment. A significant variation of sputtering rate was observed in the initial 3 nm or less. The sputtering rate in the initial 3 nm was estimated to be about four times larger than the steady‐state value for 1000 eV oxygen ions. Copyright © 2003 John Wiley & Sons, Ltd.  相似文献   

2.
Reconstruction of original element distribution at semiconductor interfaces using experimental SIMS profiles encounters considerable difficulties because of the matrix effect, sputtering rate change at the interface, and also a sputtering‐induced broadening of original distributions. We performed a detailed depth profiling analysis of the Al step‐function distribution in GaAs/AlxGa1?xAs heterostructures by using Cs+ primary ion beam sputtering and CsM+ cluster ion monitoring (where M is the element of interest) to suppress the matrix effect. The experimental Depth Resolution Function (DRF) was obtained by differentiation of the Al step‐function profile and compared with the ‘reference’ DRF found from depth profiling of an Al delta layer. The difference between two experimental DRFs was explained by the sputtering rate change during the interface profiling. We experimentally studied the sputtering rate dependence on the AlxGa1?xAs layer composition and applied it for a reconstruction of the DRF found by differentiating the Al step‐function distribution: the ‘reconstructed’ and ‘reference’ DRFs were found to be in good agreement. This confirmed the correctness of the treatment elaborated. Copyright © 2010 John Wiley & Sons, Ltd.  相似文献   

3.
Hydrogen depth distributions in silicon, zinc oxide, and glass are of great interest in material research and industry. Time‐of‐flight SIMS has been used for hydrogen depth profiling for many years. However, some critical information, such as optimal instrumental settings and detection limits, is not easily available from previous publications. In this work, optimal instrumental settings and detection limits of hydrogen in silicon, zinc oxide, and common glass were investigated. The recommended experimental settings for hydrogen depth profiling using time‐of‐flight SIMS are: (i) keeping pressure in the analysis chamber as low as possible, (ii) using a cesium beam for sputtering and monitoring the H signal, (iii) employing monatomic ion analysis beams with the highest currents, and (iv) using interlace mode. In addition, monatomic secondary ions from a matrix are recommended as references to normalize the H signal. Detection limits of hydrogen are limited by the pressure of residual gases in the analysis chamber. The base pressure of the analysis chamber (with samples) is about 7 × 10?10 mbar in this study, and the corresponding detection limits of hydrogen in silicon, zinc oxide, and common glass are 1.3 × 1018 atoms/cm3, 1.8 × 1018 atoms/cm3, and 5.6 × 1018 atoms/cm3, respectively. Copyright © 2011 John Wiley & Sons, Ltd.  相似文献   

4.
Thin films of bromine‐terminated poly(bisphenol A octane ether) (BA‐C10) were prepared using 1,2‐dichlorobenzene (ODCB) as the solvent. The organization of the chains in these amorphous polymer films was evaluated using time‐of‐flight secondary ion mass spectrometry (ToF‐SIMS) depth profiling. For the thin films, the bifunctional polymer chains were folded and anchored to the substrate via their two Br end groups and a polymer brush of chain loops was formed on the substrate. As the film thickness increased, polymer chains in a random coil conformation were found to reside on the top of the polymer brush. Depth profiling revealed that the polymer chains were densely packed at the interface. Moreover, the polymer films showed thermal stability, implying strong interactions between the end groups and the substrate. Copyright © 2015 John Wiley & Sons, Ltd.  相似文献   

5.
We propose a new approach to express SIMS depth profiling on a TOF.SIMS‐5 time‐of‐flight mass spectrometer. The approach is based on the instrument capability to independently perform raster scans of sputter and probe ion beams. The probed area can be much smaller than the diameter of a sputter ion beam, like in the AES depth profiling method. This circumstance alleviates limitations on the sputter beam–raster size relation, which are critical in other types of SIMS, and enables analysis on a curved‐bottomed sputter crater. By considerably reducing the raster size, it is possible to increase the depth profiling speed by an order of magnitude without radically degrading the depth resolution. A technique is proposed for successive improvement of depth resolution through profile recovery with account for the developing curvature of the sputtered crater bottom in the probed area. Experimental study of the crater bottom form resulted in implementing a method to include contribution of the instrumental artifacts in a nonstationary depth resolution function within the Hofmann's mixing–roughness–information depth model. The real‐structure experiment has shown that the analysis technique combining reduction of a raster size with a successive nonstationary recovery ensures high speed of profiling at ~100 µm/h while maintaining the depth resolution of about 30 nm at a 5 µm depth. Copyright © 2015 John Wiley & Sons, Ltd.  相似文献   

6.
We study the deconvolution of the secondary ion mass spectrometry (SIMS) depth profiles of silicon and gallium arsenide structures with doped thin layers. Special attention is paid to allowance for the instrumental shift of experimental SIMS depth profiles. This effect is taken into account by using Hofmann's mixing‐roughness‐information depth model to determine the depth resolution function. The ill‐posed inverse problem is solved in the Fourier space using the Tikhonov regularization method. The proposed deconvolution algorithm has been tested on various simulated and real structures. It is shown that the algorithm can improve the SIMS depth profiling relevancy and depth resolution. The implemented shift allowance method avoids significant systematic errors of determination of the near‐surface delta‐doped layer position. Copyright © 2013 John Wiley & Sons, Ltd.  相似文献   

7.
Electrospray droplet impact (EDI) was applied to the analysis of peptides. The etching rate of bradykinin was estimated to be ~2 nm/min. This value is about one order of magnitude greater than the etching rate for SiO2 (0.2 nm/min). Considering that the etching rate of argon cluster ions Ar700+ for organic compounds is more than two orders of magnitude larger than that for inorganic materials, the rather small difference in etching rates of EDI for organic and inorganic materials is unique. When water/ethanol (1/1, vol%) solution of gramicidin S and arginine was dried in air, [gramicidin S + H]+ was observed as a predominant signal with little [Arg + H]+ right after the EDI irradiation, indicating that EDI is capable of detecting the analytes enriched on the sample surface. Copyright © 2011 John Wiley & Sons, Ltd.  相似文献   

8.
Size‐segregated particles were collected with a ten‐stage micro‐orifice uniform deposit impactor from a busy walkway in a downtown area of Hong Kong. The surface chemical compositions of aerosol samples from each stage were analyzed using time‐of‐flight secondary ion mass spectrometry (ToF‐SIMS) operated in the static mode. The ToF‐SIMS spectra of particles from stage 2 (5.6–10 µm), stage 6 (0.56–1 µm), and stage 10 (0.056–0.1 µm) were compared, and the positive ion spectra from stage 2 to stage 10 were analyzed with principal component analysis (PCA). Both spectral analysis and PCA results show that the coarse‐mode particles were associated with inorganic ions, while the fine particles were associated with organic ions. PCA results further show that the particle surface compositions were size dependent. Particles from the same mode exhibited more similar surface features. Particles from stage 2 (5.6–10 µm), stage 6 (0.56–1 µm), and stage 10 (0.056–0.1 µm) were further selected as representatives of the three modes, and the chemical compositions of these modes of particles were examined using ToF‐SIMS imaging and depth profiling. The results reveal a non‐uniform chemical distribution from the outer to the inner layer of the particles. The coarse‐mode particles were shown to contain inorganic salts beneath the organics surface. The accumulation‐mode particles contained sulfate, nitrate, ammonium salts, and silicate in the regions below a thick surface layer of organic species. The nucleation‐mode particles consisted mainly of soot particles with a surface coated with sulfate, hydrocarbons, and, possibly, fullerenic carbon. The study demonstrated the capability of ToF‐SIMS depth profiling and imaging in characterizing both the surface and the region beneath the surface of aerosol particles. It also revealed the complex heterogeneity of chemical composition in size and depth distributions of atmospheric particles. Copyright © 2014 John Wiley & Sons, Ltd.  相似文献   

9.
Matrix effects are crucial for analyses using time‐of‐flight secondary ion mass spectrometry (ToF‐SIMS) in terms of quantitative analysis, depth profiling and imaging. It is often difficult to predict how co‐existing materials will influence each other before such analysis. However, matrix effects need to be curtailed in order to assume the appropriate amount of a target material in a sample. First, matrix effects on different types of organic mixed samples, including a sample composed of Irganox 1010 and Irganox 1098 (MMK sample) and another composed of Irganox 1010 and Fmoc‐pentafluoro‐L‐phenylalanine (MMF sample), were observed utilizing ToF‐SIMS and the dependence of the secondary ion polarity of the matrix effects on the same sample was evaluated. Next, the correction method for the ToF‐SIMS matrix effects proposed by Shard et al. was applied to a comparison of the positive secondary ion results to the negative ones. The matrix effects on the positive ion data in both samples were different from those on the negative ion data. The matrix effect correction method worked effectively on both the negative and positive depth profiles. Copyright © 2017 John Wiley & Sons, Ltd.  相似文献   

10.
The sputter damage profiles of Si(100) by low‐energy O2+ and Ar+ ion bombardment at various angles of incidence were measured using medium‐energy ion scattering spectroscopy. It was observed that the damaged Si surface layer can be minimized down to 0.5–0.6 nm with grazing‐incident 500 eV Ar+ and O2+ ions at 80°. To illustrate how the damaged layer thickness can be decreased down to 0.5 nm, molecular dynamics simulations were used. The SIMS depth resolution estimated with trailing‐edge decay length for a Ga delta‐layer in Si with grazing‐incident 650 eV O2+ was 0.9 nm, which is in good agreement with the measured damaged layer thickness. Copyright © 2004 John Wiley & Sons, Ltd.  相似文献   

11.
Sputter‐depth profiles of model organic thin films on silicon using C60 primary ions have been employed to measure sputtering yields and depth resolution parameters. We demonstrate that some materials (polylactide, Irganox 1010) have a constant and high sputtering yield, which varies linearly with the primary ion energy, whereas another material (Alq3) has lower, fluence‐dependent sputtering yields. Analysis of multi‐layered organic thin films reveals that the depth resolution is a function of both primary ion energy and depth, and the sputtering yield depends on the history of sputtering. We also show that ~30% of repeat units are damaged in the steady‐state regime during polylactide sputtering. Crown Copyright © 2006. Reproduced with the permission of Her Majesty's Stationery Office. Published by John Wiley & Sons, Ltd.  相似文献   

12.
Gate oxynitride structures of TFT-LCDs were investigated by SIMS, and successful solutions are demonstrated to overcome difficulties arising due to the charging effects of the multilayer systems, the matrix effect of the method, and the small pattern sizes of the samples. Because of the excellent reproducibility achieved by applying exponential relative sensitivity functions for quantitative analysis, minor differences in the barrier gate-oxynitride composition deposited on molybdenum capped aluminium-neodymium metallisation electrodes were determined between the centre and the edge of the TFT-LCD substrates. No differences were found for molybdenum-tungsten metallisations. Furthermore, at the edge of the glass substrates, aluminium, neodymium, and molybdenum SIMS depth profiles show an exponential trend. With TEM micrographs an inhomogeneous thickness of the molybdenum capping is revealed as the source of this effect, which influences the electrical behaviour of the device.The production process was improved after these results and the aging behaviour of TFT-LCDs was investigated in order to explain the change in control voltage occurring during the lifetime of the displays. SIMS and TEM show an enrichment of neodymium at the interface to the molybdenum layer, confirming good diffusion protection of the molybdenum barrier during accelerated aging. The reason for the shift of the control voltage was finally located by semi-quantitative depth profiling of the sodium diffusion originating from the glass substrate. Molybdenum-tungsten was a much better buffer for the highly-mobile charge carriers than aluminium-neodymium. Best results were achieved with PVD silicon oxynitride as diffusion barrier and gate insulator deposited on aluminium-neodymium metallisation layers.  相似文献   

13.
In this paper we demonstrate how secondary ion mass spectrometry (SIMS) can be applied to ZnO nanowire structures for gold catalyst residue determination. Gold plays a significant role in determining the structural properties of such nanowires, with the location of the gold after growth being a strong indicator of the growth mechanism. For the material investigated here, we find that the gold remains at the substrate–nanowire interface. This was not anticipated as the usual growth mechanism associated with catalyst growth is of a vapour–liquid–solid (VLS) type. The results presented here favour a vapour–solid (VS) growth mechanism instead. Copyright © 2007 John Wiley & Sons, Ltd.  相似文献   

14.
Automated quantitative comparison of depth-profiles recorded by SIMS based on a fuzzy difference measure has been used to characterize Sb and B implantation profiles in a marker experiment to study the diffusion of As in silicon. The variations of the concentration (intensity) measurements are described by a fuzzy set that is specified by smoothing the data with a polynomial digital filter. For each depth an individual spread as the size of variation is defined. Applications of the method enabled the influence of As-concentration and of annealing conditions on the implantation profiles of Sb and B to be quantified.  相似文献   

15.
Stable and unstable spin‐coated polymer films were prepared using various solvents and substrates. The relationship between polymer end‐group concentrations and stability of spin‐coated polymer films was revealed by time‐of‐flight secondary ion mass spectrometry depth profiling. A high concentration of bromine end groups at the interface between the polymer and the substrate helped to prevent the dewetting of films. In contrast, the bromine end groups were found to be more evenly distributed in unstable thin films. The extent to which the bromine end groups segregate to the interface depended on the competitive interactions between the polymer, the solvent and the substrate. Stronger polymer–solvent and solvent–substrate interactions prevented the segregation of the bromine end groups to the interface, resulting in unstable polymer films. Copyright © 2013 John Wiley & Sons, Ltd.  相似文献   

16.
Sputtering‐induced roughness is the main distortional factor on the depth resolution of measured depth profiles, in particular, for sputtering of polycrystalline metals. Frequently, the surface height distribution of the sputtering‐induced roughness exhibits an asymmetrical feature. In such a case, a non‐Gaussian height distribution function (HDF) has to be applied for the quantification of a measured depth profile. By replacing the usually applied Gaussian HDF with that of an asymmetrical triangle in the Mixing‐Roughness‐Information depth model, measured Auger electron spectroscopy depth profiling data of the interface of polycrystalline Al films on Si are perfectly fitted. The asymmetric triangle height distributions obtained from the best fit are a reasonable approximation of the height distributions measured by atomic force microscopy. Copyright © 2013 John Wiley & Sons, Ltd.  相似文献   

17.
Four simple methods are evaluated to determine their accuracies for establishing the interface location in secondary ion mass spectrometry intensity depth profiles of organic layers where matrix effects have not been measured. Accurate location requires the separate measurement of each ion's matrix factor. This is often not possible, and so estimates using matrix-less methods are required. Six pure organic material interfaces are measured using many secondary ions to compare their locations from the four methods with those from full evaluation with matrix terms. For different secondary ions, matrix effects cause the apparent interface positions to vary over 20 nm. The shifts in the intensity profiles on going from a layer of P into a layer of Q are in the opposite direction to that for going from Q into P, so doubling layer thickness errors. The four methods are as follows: M1, use of the median interface position in the intensity profiles for the five lightest ions for 15 ≤ m/z ≤ 150; M2, extrapolation of the position for each ion to m/z = 0 for ions with m/z ≤ 150; M3, as M2 but for m/z ≤ 300; and M4, the extreme positions for all m/z ≤ 100. Comparison with the location using matrix terms shows their ranking, from best to worst, to be M4, M3, M1, and M2 with average errors of 10%, 12%, 14%, and 17%, respectively, of the profile interface full widths at half maximum. Use of pseudo-molecular ions is very much poorer, exceeding 50%, and should be avoided.  相似文献   

18.
A detailed depth characterization of multilayered polymeric systems is a very attractive topic. Currently, the use of cluster primary ion beams in time‐of‐flight secondary ion mass spectrometry allows molecular depth profiling of organic and polymeric materials. Because typical raw data may contain thousands of peaks, the amount of information to manage grows rapidly and widely, so that data reduction techniques become indispensable in order to extract the most significant information from the given dataset. Here, we show how the wavelet‐based signal processing technique can be applied to the compression of the giant raw data acquired during time‐of‐flight secondary ion mass spectrometry molecular depth‐profiling experiments. We tested the approach on data acquired by analyzing a model sample consisting of polyelectrolyte‐based multilayers spin‐cast on silicon. Numerous wavelet mother functions and several compression levels were investigated. We propose some estimators of the filtering quality in order to find the highest ‘safe’ approximation value in terms of peaks area modification, signal to noise ratio, and mass resolution retention. The compression procedure allowed to obtain a dataset straightforwardly ‘manageable’ without any peak‐picking procedure or detailed peak integration. Moreover, we show that multivariate analysis, namely, principal component analysis, can be successfully combined to the results of the wavelet‐filtering, providing a simple and reliable method for extracting the relevant information from raw datasets. Copyright © 2016 John Wiley & Sons, Ltd.  相似文献   

19.
We have performed secondary ion mass spectrometry depth profiling analysis of III–V based hetero‐structures at different target temperatures and found that both the surface segregation and surface roughness caused by ion sputtering can be radically reduced if the sample temperature is lowered to ?150 °C. The depth profiling of ‘frozen’ samples can be a good alternative to sample rotation and oxygen flooding used for ultra‐low‐energy depth profiling of compound semiconductors. Copyright © 2016 John Wiley & Sons, Ltd.  相似文献   

20.
X‐ray photoelectron spectroscopy is used to study a wide variety of material systems as a function of depth (“depth profiling”). Historically, Ar+ has been the primary ion of choice, but even at low kinetic energies, Ar+ ion beams can damage materials by creating, for example, nonstoichiometric oxides. Here, we show that the depth profiles of inorganic oxides can be greatly improved using Ar giant gas cluster beams. For NbOx thin films, we demonstrate that using Arx+ (x = 1000‐2500) gas cluster beams with kinetic energies per projectile atom from 5 to 20 eV, there is significantly less preferential oxygen sputtering than 500 eV Ar+ sputtering leading to improvements in the measured steady state O/Nb ratio. However, there is significant sputter‐induced sample roughness. Depending on the experimental conditions, the surface roughness is up to 20× that of the initial NbOx surface. In general, higher kinetic energies per rojectile atom (E/n) lead to higher sputter yields (Y/n) and less sputter‐induced roughness and consequently better quality depth profiles. We demonstrate that the best‐quality depth profiles are obtained by increasing the sample temperature; the chemical damage and the crater rms roughness is reduced. The best experimental conditions for depth profiling were found to be using a 20 keV Ar2500+ primary ion beam at a sample temperature of 44°C. At this temperature, there is no, or very little, reduction of the niobium oxide layer and the crater rms roughness is close to that of the original surface.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号