首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
In this contribution, we focus on the use of C60+ ions for depth profiling of model synthetic polymers: polystyrene (PS) and poly(methylmethacrylate) (PMMA). These polymers were spin coated on silicon wafers, and the obtained samples were depth‐profiled both with Ga+ ions and C60+ ions. We observed an important yield enhancement for both polymers when C60+ ions are used. More specifically, we discuss here the decrease in damage obtained with C60, which is found to be very sensitive to the nature of the polymer. During the C60+ sputtering of the PMMA layer, after an initial decrease, a steady state is observed in the secondary ion yield of characteristic fragments. In contrast, for PS, an exponential decrease is directly observed, leading to an initial disappearance cross section close to the value observed for Ga+. Though there is a significant loss of characteristic PS signal when sputtering with C60+ ions beams, there are still significant enhancements in sputter yields when employing C60+ as compared to Ga+. Copyright © 2008 John Wiley & Sons, Ltd.  相似文献   

2.
We have developed multiple short‐period delta layers as a reference material for SIMS ultra‐shallow depth profiling. Boron nitride delta layers and silicon spacer layers were sputter‐deposited alternately, with a silicon spacer thickness of 1–5 nm. These delta‐doped layers were used to measure the sputtering rate change in the initial stage of oxygen ion bombardment. A significant variation of sputtering rate was observed in the initial 3 nm or less. The sputtering rate in the initial 3 nm was estimated to be about four times larger than the steady‐state value for 1000 eV oxygen ions. Copyright © 2003 John Wiley & Sons, Ltd.  相似文献   

3.
The sputter damage profiles of Si(100) by low‐energy O2+ and Ar+ ion bombardment at various angles of incidence were measured using medium‐energy ion scattering spectroscopy. It was observed that the damaged Si surface layer can be minimized down to 0.5–0.6 nm with grazing‐incident 500 eV Ar+ and O2+ ions at 80°. To illustrate how the damaged layer thickness can be decreased down to 0.5 nm, molecular dynamics simulations were used. The SIMS depth resolution estimated with trailing‐edge decay length for a Ga delta‐layer in Si with grazing‐incident 650 eV O2+ was 0.9 nm, which is in good agreement with the measured damaged layer thickness. Copyright © 2004 John Wiley & Sons, Ltd.  相似文献   

4.
Matrix effects are crucial for analyses using time‐of‐flight secondary ion mass spectrometry (ToF‐SIMS) in terms of quantitative analysis, depth profiling and imaging. It is often difficult to predict how co‐existing materials will influence each other before such analysis. However, matrix effects need to be curtailed in order to assume the appropriate amount of a target material in a sample. First, matrix effects on different types of organic mixed samples, including a sample composed of Irganox 1010 and Irganox 1098 (MMK sample) and another composed of Irganox 1010 and Fmoc‐pentafluoro‐L‐phenylalanine (MMF sample), were observed utilizing ToF‐SIMS and the dependence of the secondary ion polarity of the matrix effects on the same sample was evaluated. Next, the correction method for the ToF‐SIMS matrix effects proposed by Shard et al. was applied to a comparison of the positive secondary ion results to the negative ones. The matrix effects on the positive ion data in both samples were different from those on the negative ion data. The matrix effect correction method worked effectively on both the negative and positive depth profiles. Copyright © 2017 John Wiley & Sons, Ltd.  相似文献   

5.
Zn(O,S) is a promising candidate to replace the commonly used CdS buffer layer for Cu(In,Ga)Se2 (CIGS) thin‐film solar cells due to its non‐toxicity and its potential to enhance the conversion efficiency of the CIGS solar cell. The composition of chemical bath deposited (CBD) and sputtered Zn(O,S) layers with thicknesses well below 100 nm was determined by sputtered neutral and secondary ion mass spectrometry (SNMS and SIMS). Despite numerous mass interferences of double‐charged atoms and dimers with single Zn, O and S isotopes, we developed an evaluation algorithm for quantification of SNMS depth profiles of Zn(O,S) layers. In particular, the superposition of double‐charged S and Zn atoms with O and S isotopes is accounted for numerically in the quantification procedure. For sputtered Zn(O,S) layers, the S/(S + O) atomic ratio and the vertical composition profile can be controlled by the O2 content in the gas flow and the substrate temperature during sputtering whereas for CBD Zn(O,S) the S/(S + O) ratio is constant around 0.7–0.8. A Cu‐depleted layer of about 5 nm on the CIGS surface after buffer deposition was observed for both preparation methods. With negative SIMS, we found more hydroxides and carbon residues in CBD Zn(O,S) as compared to sputtered layers. Best cell performance with sputtered Zn(O,S) layers was achieved for S/(S + O) ratios of 0.25–0.40, yielding efficiencies up to 13%. Our solar cells with CBD Zn(O,S) buffers exhibit higher efficiencies due to an improved open‐circuit voltage. Copyright © 2013 John Wiley & Sons, Ltd.  相似文献   

6.
The heavy ion time‐of‐flight elastic recoil detection analysis (HI‐ERDA) technique was used to investigate the possibility of measuring near‐surface elemental depth profiles of light and mid‐Z elements in thin films of plasma‐assisted molecular beam epitaxy (PAMBE)‐grown GaN and helium‐implanted titanium. The great advantage of HI‐ERDA is the ability to measure mass‐separated elemental depth profiles simultaneously. However for some materials it is not certain whether HI‐ERDA can be used successfully because significant sputtering or other beam‐induced damage may occur. The damage to the surfaces by a 77 MeV iodine beam was assessed using RBS, AFM and profilometry. The results show that for thin PAMBE‐grown polycrystalline GaN films and for titanium that has been heavily implanted with helium a significant modification of the near‐surface region is caused by the probing heavy ion beam. For the PAMBE‐grown GaN films the most significant loss trend is observed for nitrogen. Surprisingly this was not accompanied by a change in surface topology. In contrast, an almost complete removal of the heavily helium‐implanted surface layer was measured for the titanium specimens. The investigation shows that reference measurements with additional techniques such as RBS, AFM and profilometry have to be performed to ascertain sample integrity before HI‐ERDA data can be used. Copyright © 2004 John Wiley & Sons, Ltd.  相似文献   

7.
The possibilities of quantitative secondary ion mass spectrometry (SIMS) depth profiling of Al in AlxGa1 ? xN/AlN/GaN transistor heterostructures are shown. Using a series of test structures for a TOF.SIMS‐5 time‐of‐flight mass spectrometer, we obtained a refined linear calibration dependence of the secondary‐ion yield on the composition ×, namely, Y(CsAl+)/Y(CsGa+) = K × x/(1 ? x), with a high linear correlation coefficient, Rl = 0.9996, which permits quantitative SIMS analysis of relatively thick AlGaN barrier layers. The method of profile reconstruction with allowance for the main artifacts of ion sputtering has been first applied for the analysis of GaN/AlGaN/AlN/GaN high electron mobility transistor structure. This method permits to perform quantitative analysis of the thickness and composition of a nanometer‐thin AlN sublayer and to estimate the measurement error. For the structure being studied, the AlN sublayer is 1.2 ± 0.2 nm thick. Copyright © 2016 John Wiley & Sons, Ltd.  相似文献   

8.
Hydrogen depth distributions in silicon, zinc oxide, and glass are of great interest in material research and industry. Time‐of‐flight SIMS has been used for hydrogen depth profiling for many years. However, some critical information, such as optimal instrumental settings and detection limits, is not easily available from previous publications. In this work, optimal instrumental settings and detection limits of hydrogen in silicon, zinc oxide, and common glass were investigated. The recommended experimental settings for hydrogen depth profiling using time‐of‐flight SIMS are: (i) keeping pressure in the analysis chamber as low as possible, (ii) using a cesium beam for sputtering and monitoring the H signal, (iii) employing monatomic ion analysis beams with the highest currents, and (iv) using interlace mode. In addition, monatomic secondary ions from a matrix are recommended as references to normalize the H signal. Detection limits of hydrogen are limited by the pressure of residual gases in the analysis chamber. The base pressure of the analysis chamber (with samples) is about 7 × 10?10 mbar in this study, and the corresponding detection limits of hydrogen in silicon, zinc oxide, and common glass are 1.3 × 1018 atoms/cm3, 1.8 × 1018 atoms/cm3, and 5.6 × 1018 atoms/cm3, respectively. Copyright © 2011 John Wiley & Sons, Ltd.  相似文献   

9.
Argon gas cluster ion beam sources are likely to become much more widely available on XPS and SIMS instruments in the next few years. Much attention has been devoted to their ability to depth profile organic materials with minimum damage. What has not been the focus of attention (possibly because it has been very difficult to measure) is the large ratio of sputter yield for organic materials compared with inorganic materials using these sources and the special opportunities this presents for studies of organic/inorganic interfaces. Traditional depth profiling by monatomic argon ions introduces significant damage into the organic overlayer, and because sputter rates in both organic and inorganic are similar for monatomic ions the interface is often ‘blurred’ due to knock‐on and other damage mechanisms. We have used a quartz crystal technique to measure the total sputter yield for argon cluster ions in a number of materials important in medical implants, biomaterials and diagnostic devices, including polymethyl methacrylate, collagen, hydroxyapatite, borosilicate glass, soda lime glass, silicon dioxide and the native oxides on titanium and stainless steel. These data fit a simple semi‐empirical equation very well, so that the total sputter yield can now be estimated for any of them for the entire range of cluster ion energy typical in XPS or SIMS. On the basis of our total sputter yield measurements, we discuss three useful ‘figures‐of‐merit’ for choosing the optimum cluster ion energy to use in depth profiling organic/inorganic samples. For highest selectivity in removing the organic but not the inorganic material the energy‐per‐atom in the cluster should be below 6 eV. A practical balance between selectivity and reasonably rapid depth profiling is achieved by choosing a cluster ion energy having between around 3 and 9 eV energy‐per‐atom. Copyright © 2013 John Wiley & Sons, Ltd.  相似文献   

10.
Size‐segregated particles were collected with a ten‐stage micro‐orifice uniform deposit impactor from a busy walkway in a downtown area of Hong Kong. The surface chemical compositions of aerosol samples from each stage were analyzed using time‐of‐flight secondary ion mass spectrometry (ToF‐SIMS) operated in the static mode. The ToF‐SIMS spectra of particles from stage 2 (5.6–10 µm), stage 6 (0.56–1 µm), and stage 10 (0.056–0.1 µm) were compared, and the positive ion spectra from stage 2 to stage 10 were analyzed with principal component analysis (PCA). Both spectral analysis and PCA results show that the coarse‐mode particles were associated with inorganic ions, while the fine particles were associated with organic ions. PCA results further show that the particle surface compositions were size dependent. Particles from the same mode exhibited more similar surface features. Particles from stage 2 (5.6–10 µm), stage 6 (0.56–1 µm), and stage 10 (0.056–0.1 µm) were further selected as representatives of the three modes, and the chemical compositions of these modes of particles were examined using ToF‐SIMS imaging and depth profiling. The results reveal a non‐uniform chemical distribution from the outer to the inner layer of the particles. The coarse‐mode particles were shown to contain inorganic salts beneath the organics surface. The accumulation‐mode particles contained sulfate, nitrate, ammonium salts, and silicate in the regions below a thick surface layer of organic species. The nucleation‐mode particles consisted mainly of soot particles with a surface coated with sulfate, hydrocarbons, and, possibly, fullerenic carbon. The study demonstrated the capability of ToF‐SIMS depth profiling and imaging in characterizing both the surface and the region beneath the surface of aerosol particles. It also revealed the complex heterogeneity of chemical composition in size and depth distributions of atmospheric particles. Copyright © 2014 John Wiley & Sons, Ltd.  相似文献   

11.
Traditionally polymer depth profiling by X‐ray photoelectron spectroscopy (XPS) has been dominated by the damage introduced by the ion beam rather than the X‐rays. With the introduction of polyatomic and especially argon gas cluster ion‐beam (GCIB) sources for XPS instruments, this is no longer the case, and either source of damage may be important (or dominate) under particular conditions. Importantly, while ion‐beam damage is a near‐surface effect, X‐ray damage may extend micrometres into the bulk of the sample, so that the accumulation of X‐ray damage during long depth profiles may be very significant. We have observed craters of similar dimensions to the X‐ray spot well within the perimeter of sputter craters, indicating that X‐rays can assist GCIB sputtering very significantly. We have measured experimentally sputter craters in 13 different polymers. The results show that X‐ray exposure can introduce much more topography than might previously have been expected, through both thermal and direct X‐ray degradation. This can increase the depth of a crater by a remarkable factor, up to three in the case of poly‐L‐lactic acid and polychlorotrifluorothylene under reasonably normal XPS conditions. This may be a major source of the loss of depth resolution in sputter depth profiles of polymers. Copyright © 2012 John Wiley & Sons, Ltd.  相似文献   

12.
An effect of measurement conditions on the depth resolution was investigated for dual‐beam time of flight‐secondary ion mass spectrometry depth profiling of delta‐doped‐boron multi‐layers in silicon with a low‐energy sputter ion (200 eV – 2 keV O2+) and with a high‐energy primary ion (30 keV Bi+). The depth resolution was evaluated by the intensity ratio of the first peak and the subsequent valley in B+ depth profile for each measurement condition. In the case of sputtering with the low energy of 250 eV, the depth resolution was found to be affected by the damage with the high‐energy primary ion (Bi+) and was found to be correlated to the ratio of current density of sputter ion to primary ion. From the depth profiles of implanted Bi+ primary ion remaining at the analysis area, it was proposed that the influence of high‐energy primary ion to the depth resolution can be explained with a damage accumulation model. Copyright © 2013 John Wiley & Sons, Ltd.  相似文献   

13.
The diffusion of Pb through Pb(Zr0.2Ti0.8)O3(PZT)/Pt/Ti/SiO2/Si thin film heterostructures is studied by using time‐of‐flight secondary ion mass spectrometry depth profiling. The as‐deposited films initially contained 10 mol% Pb excess and were thermally processed at temperatures ranging from 325 to 700°C to promote Pb diffusion. The time‐of‐flight secondary ion mass spectrometry depth profiles show that increasing processing temperature promoted Pb diffusion from the PZT top film into the buried heterostructure layers. After processing at low temperatures (eg, 325°C), Pb+ counts were low in the Pt region. After processing at elevated temperatures (eg, 700°C), significant Pb+ counts were seen throughout the Pt layer and into the Ti and SiO2 layers. Intermediate processing temperatures (400, 475, and 500°C) resulted in Pb+ profiles consistent with this overall trend. Films processed at 400°C show a sharp peak in PtPb+ intensity at the PZT/Pt interface, consistent with prior reports of a Pt3Pb phase at this interface after processing at similar temperatures.  相似文献   

14.
This International Standard specifies a secondary ion mass spectrometric method using magnetic‐sector or quadrupole mass spectrometers for depth profiling of boron in silicon, and using stylus profilometry or optical interferometry for depth calibration. This method is applicable to single‐crystal, polycrystal or amorphous silicon specimens with boron atomic concentrations between 1 × 1016 and 1 × 1020 atoms cm?3, and to the crater depth of 50 nm or deeper. Optical interferometry is generally applicable to crater depths in the range 0.5–5 µm. Copyright © 2004 John Wiley & Sons, Ltd.  相似文献   

15.
This work documents the behaviour of the positive secondary ion yield of bulk polytetrafluoroethylene (PTFE) under dual‐beam depth profiling conditions employing 1 keV Ar+, Cs+ and SF5+. A unique chemical interaction is observed in the form of a dramatic enhancement of the positive secondary ion yield when PTFE is dual‐beam profiled with 1 keV Cs+. The distinct absence of such an enhancement is noted for comparison on two non‐fluorinated polymers, polyethylene terephthalate (PET) and polydimethylsiloxane (PDMS). The bulk PTFE was probed using 15‐keV, 69Ga+ primary ions in dual beam mode under static conditions; 1‐keV Ar+ (a non‐reactive, light, noble element), Cs+ (a heavier metallic ion known to form clusters) and SF5+ (a polyatomic species) served as the sputter ion species. The total accumulated primary ion dose was of the order of 1015 ions/cm2, which is well beyond the static limit. The enhancement of the positive secondary yield obtained when profiling with 1‐keV Cs+ far exceeds that obtained when SF5+ is employed. An explanation of this apparent reactive ion effect in PTFE is offered in terms of polarisation of C? F bonds by Cs+ in the vicinity of the implantation site thereby predisposing them to facile scission. The formation of peculiar, periodic CsxFy+ (where y = x ? 1) and CsxCyFz+ clusters that can extend to masses approaching 2000 amu are also observed. Such species may serve as useful fingerprints for fluorocarbons that can be initiated via pre‐dosing a sample with low‐energy Cs+ prior to static 15‐keV Ga+ analysis. Copyright © 2005 John Wiley & Sons, Ltd.  相似文献   

16.
We attempted to make an accurate depth profiling in secondary ion mass spectrometry (SIMS) including backside SIMS for ultra‐thin nanometer order layer. The depth profiles for HfO2 layers that were 3 and 5 nm thick in a‐Si/HfO2/Si were measured using quadrupole and magnetic sector type SIMS instruments. The depth profiling for an ultra‐thin layer with a high depth resolution strongly depends on how the crater‐edge and knock‐on effects can be properly reduced. Therefore, it is important to control the analyzing conditions, such as the primary ion energy, the beam focusing size, the incidence angle, the rastered area, and detected area to reduce these effects. The crater‐edge effect was significantly reduced by fabricating the sample into a mesa‐shaped structure using a photolithography technique. The knock‐on effect will be serious when the depth of the layer of interest from the surface is located within the depth of the ion mixing region due to the penetration of the primary ions. Finally, we were able to separately assign the origin of the distortion to the crater‐edge effect and knock‐on effect. Copyright © 2012 John Wiley & Sons, Ltd.  相似文献   

17.
Auger electron spectroscopy (AES) sputter depth profiling of an ISO reference material of the GaAs/AlAs superlattice was investigated using low‐energy Ar+ ions. Although a high depth resolution of ~1.0 nm was obtained at the GaAs/AlAs interface under 100 eV Ar+ ion irradiation, deterioration of the depth resolution was observed at the AlAs/GaAs interface. The Auger peak profile revealed that the enrichment of Al due to preferential sputtering occurred during sputter etching of the AlAs layer only under 100 eV Ar+ ion irradiation. In addition, a significant difference in the etching rates between the AlAs and GaAs layers was observed for low‐energy ion irradiation. Deterioration of the depth resolution under 100 eV Ar+ ion irradiation is attributed to the preferential sputtering and the difference in the etching rate. The present results suggest that the effects induced by the preferential sputtering and the significant difference in the etching rate should be taken into account to optimize ion etching conditions using the GaAs/AlAs reference material under low‐energy ion irradiation. Copyright © 2005 John Wiley & Sons, Ltd.  相似文献   

18.
本文首次用碳酸铯与NTO直接合成新的Cs NTO配合物。采用元素分析和化学分析法确定了配合物的组成。用红外光谱法 ,热分析法和X ray粉末衍射法进行了物理化学表征  相似文献   

19.
An Ar Gas Cluster Ion Beam (GCIB) has been shown to remove previous Ar+ ion beam‐induced surface damage to a bulk polyimide (PI) film. After removal of the damaged layer with a GCIB sputter source, XPS measurements show minor changes to the carbon, nitrogen and oxygen atomic concentrations relative to the original elemental bulk concentrations. The GCIB sputter depth profiles showed that there is a linear relationship between the Ar+ ion beam voltage within the range from 0.5 to 4.0 keV and the dose of argon cluster ions required to remove the damaged layer. The rate of recovery of the original PI atomic composition as a function of GCIB sputtering is similar for carbon, nitrogen and oxygen, indicating that there was no preferential sputtering for these elements. The XPS chemical state analysis of the N 1s spectra after GCIB sputtering revealed a 17% damage ratio of altered nitrogen chemical state species. Further optimization of the GCIB sputtering conditions should lead to lower nitrogen damage ratios with the elemental concentrations closer to those of bulk PI. Copyright © 2010 John Wiley & Sons, Ltd.  相似文献   

20.
Polyatomic primary ions offer low penetration depth and high damage removal rates in some polymers, facilitating their use in the molecular depth profiling of these polymers by secondary ion mass spectrometry (SIMS). This study is the second in a series of systematic characterizations of the effect of polymer chemistry on degradation under polyatomic primary ion bombardment. In this study, time‐of‐flight SIMS (ToF‐SIMS) was used to measure the damage of ~90 nm thick spin‐cast poly(methyl methacrylate), poly(n‐butyl methacrylate), poly(n‐octyl methacrylate) and poly(n‐dodecyl methacrylate) films under extended (~2 × 1014 ions cm?2) 5 keV SF5+ bombardment. The degradation of the poly(n‐alkyl methacrylates) were compared to determine the effect of the length of the alkyl pendant group on their degradation under SF5+ bombardment. The sputter rate and stability of the characteristic secondary ion intensities of these polymers decreased linearly with alkyl pendant group length, suggesting that lengthening the n‐alkyl pendant group resulted in increased loss of the alkyl pendant groups and intra‐ or intermolecular cross‐linking under SF5+ bombardment. These results are partially at variance with the literature on the thermal degradation of these polymers, which suggested that these polymers degrade primarily via depolymerization with minimal intra‐ or intermolecular cross‐linking. Copyright © 2004 John Wiley & Sons, Ltd.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号