首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 453 毫秒
1.
For the observation of nuclear tracks, Atomic Force Microscopy (AFM) is a useful technique. In our study, we have irradiated a muscovite mica sample using 600 keV oxygen ions. This ion's energy is well below the detection threshold predicted by the existing models. The samples were visualized at high resolution with an AFM device. Before chemical etching no tracks were visualized on the surface at an atomic level. However, defects must have been initiated because tracks became observable after a brief etching time. Our results confirm that the detection threshold is influenced by the observation tool. In this article we provide information concerning the “observability” and “etchability” of latent tracks.  相似文献   

2.
Swift heavy ion irradiation produces damage in polymers in the form of latent tracks. Latent tracks can be enlarged by etching it in a suitable etchant and thus nuclear track etch membrane can be formed for gas permeation / purification in particular for hydrogen where the molecular size is very small. By applying suitable and controlled etching conditions well defined tracks can be formed for specific applications of the membranes. After etching gas permeation method is used for characterizing the tracks. In the present work polycarbonate (PC) of various thickness were irradiated with energetic ion beam at Inter University Accelerator Centre (IUAC), New Delhi. Nuclear tracks were modified by etching the PC in 6N NaOH at 60 (±1) °C from both sides for different times to produce track etch membranes. At critical etch time the etched pits from both the sides meet a rapid increase in gas permeation was observed. Permeability of hydrogen and carbon dioxide has been measured in samples etched for different times. The latent tracks produced by SHI irradiation in the track etch membranes show enhancement of free volume of the polymer. Nano filters are separation devices for the mixture of gases, different ions in the solution and isotopes and isobars separations. The polymer thin films with controlled porosity finding it self as best choice. However, the permeability and selectivity of these polymer based membrane filters are very important at the nano scale separation. The Swift Heavy Ion (SHI) induced nuclear track etched polymeric films with controlled etching have been attempted and characterized as nano scale filters.   相似文献   

3.
The fission-track (FT) method is a dating technique based on the observation of damage (tracks) by spontaneous fission of 238U left in a mineral. The date is calculated from the track density and the uranium concentration in the mineral. This is possible because the number of tracks is a function of uranium concentration and time since the start of track accumulation. Usually, the number of tracks is counted under an optical microscope after etching (chemical expansion of a track). However, as FT density per unit area rises, it becomes difficult to count the number of tracks. This is due to the fact that FTs overlap one another and are unable to be readily distinguished. This research examines the potential of atomic force microscope (AFM) for FT dating using zircons, which are likely to show higher FT density than other minerals due to their high U concentrations.To obtain an AFM image for a sample prepared for FT dating, removing the static electricity of the sample is essential to avoid an unexpected movement of the cantilever. A grain should be wider than about 30 μm to bring the cantilever on the mineral surface. Polishing with a fine grained compound is very important. There is not much difference in sharpness between images by AC mode (scanning with vibrating cantilever at a constant cycle) and Contact mode (scanning with the cantilever always in close contact with the surface). To confirm how tracks can be identified with the AFM, an AFM image was compared with an image obtained with the optical microscope. When change in the number of tracks and their shapes were observed through stepwise etching, the track expanded as the etching time increased. In addition, the etching rate was slower for large tracks than those for small tracks. This implied that the AFM can be used to observe etching of zircons with different degrees of nuclear fission damage. A track that could not be seen with the optical microscope due to insufficient etching could be observed by AFM methods, indicating the possibility of FT dating with high track densities using AFM after relatively short etching periods.  相似文献   

4.
Tracks of 49 MeV 35Cl ions in muscovite mica were analyzed by transmission electron microscopy up to 10 Å resolution applying the track replica method. The enlargement of the track diameter is measured as a function of etching time. The track shape evolution shows circular tracks for very short etching times. By increasing the etching time the tracks gradually become ovals. For longer etching times, tracks show the characteristic rhombic shape of the bulk region. A non monotonous decrease of the track velocity vs. radius is reported. Formation of a low velocity shell in the intermediate region between the central amorphous zone and the non-perturbed crystalline lattice is suggested.  相似文献   

5.
S. Abu Saleh 《哲学杂志》2013,93(26):3967-3980
Structure details of latent tracks created by U, Pb and Au ions (energies 6.9, 28.7 and 11.1?MeV/A, respectively) in {111} CaF2 have been extracted by means of scanning force microscopy and transmission electron microscopy. The revealed structure has assisted in the interpretation of the etching behaviour of tracks created in {111} CaF2 by 9.2?MeV/A Bi ions. In the latter experiments, irradiated fragments were immersed for short durations in a 3:1 10% HCl/96% H2SO4 solution and the morphology of the formed etch pits was derived by high-resolution scanning electron microscopy and scanning force microscopy. Three conclusions emerged. First, ion-induced surface hillocks exhibit no resistance against etchant attack. Second, the primary etching diameter of the track coincides with the nanometric width of the structurally altered track core. Third, the structure of the etch pits, 3-faced symmetric pyramidal depressions with {122} faces, indicates that etching across the track halo, a few tens of nanometers wide strained crystal, is dominated by surface energies of crystal faces.  相似文献   

6.
The state-of-the-art in the electrochemical etching (ECE) amplification of charged particle tracks in polymeric detectors and its applications in particular in radiation dosimetry are presented and discussed in this paper. During the past quarter of century, extensive efforts have been made on research and development in the world as well as at NRPD in AEOI on production of high voltage generators, construction of ECE chamber systems, optimization of ECE conditions, development of detectors, studies on basic physical and chemical phenomena, promotion of large-scale applications, etc. Quality high voltage generators can now be home made or are commercially available. The ECE chamber systems are advanced for special purposes as well as for large-scale applications (e.g. pressure chamber, triplet ECE or TECE chamber, etc. from NRPD). Many parameters have been optimized and new parameters such as internal heating, pressure, detector dimensions, etchant volume, chamber insulation, etc. have been recently discovered. Lexan polycarbonates (PC) and CR-39 have been shown to be the most successful detectors for ECE, while other detectors were also applied. The fundamental phenomena including “dielectric breakdown”, “dielectric loss”, “electro-osmosis”, “dielectrophoresis”, “electrostriction”, etc. although primarily proved to exist and studied, need to be further studied. The novel triplet ECE (TECE) method has provided a new approach for track amplification, firing tree production, fractal tree generation, etc. Also the novel dyed ECE track (DYECET) method enables one to study in more detail the structure of tracks, cracks, fractals, etc. Large-scale radon monitoring indoors and outdoors, large-scale neutron personnel dosimetry, low level radionuclide determination in environmental samples, autoradiography, beam profile determination, etc. are considered some successful applications. In this paper, the above were explored with emphasis on recent discoveries and inventions at NRPD in AEOI.  相似文献   

7.
The application of polymeric membrane in combination with metallic films can be used for gas purification in particular for hydrogen where the molecular size is very small. The affinity of hydrogen to certain metals assists the flow of hydrogen, although it restricts the permeation of other gases. However, the flow rate is very small in dense membranes. Attempts have been made to generate nuclear tracks in polymeric membranes to control the gas flow. These tracks can be characterized by positron lifetime spectroscopy and gas permeation measurements. The long lifetime of ortho-positronium gives the estimate of size of the track-free volume of the order of 0.25 nm. The nuclear tracks can be modified by a chemical etching process. The chemical etching normally takes place from both sides of the membrane. When the etched pits from both sides meet, a rapid increase in gas permeation is observed. The size of the nano opening of the track has been observed for two different gases hydrogen and carbon dioxide, which have a molecular size of 0.2 and 0.4 nm, respectively.  相似文献   

8.
An improved procedure for etching and analysis of alpha tracks induced in LR-115 detectors is proposed with the advantages of simplicity and its relatively low cost. A new type of detector holder was designed to etch and rinse efficiently up to 100 detectors. We develop a simple and reliable methodology with a semiautomatic track count using a Nikon digital camera coupled to a PC and employing software “SCION” freely available on the Internet. Track images are binarized prior the application of software “SCION” so that original track shapes are not distorted, space resolution is improved and track counting has low dependence on focus and illumination level. High discrimination for tracks is achieved when marks and rips perturb the detector surface. An image generator of nuclear tracks is included to study the effect of track overlapping effect on counting.  相似文献   

9.
Long-term measurements of radon progeny concentrations using Solid-State nuclear tract detector are being actively explored. These measurements depend critically on the thickness of the removed layer during etching. Scanning electron microscope (SEM) observations have identified irregularities in etched LR 115 detectors, such as detachment of the active layer from the substrate and formation of air gaps in the substrate. After discarding these irregularities, by using “Form Talysurf” surface profile measurements, the thickness of the active layers for the LR 115 detector are found to be 11.8±0.2 and 5.0±0.4 μm before and after 2 h of etching, respectively. The coefficient of variation has thus risen from 1.7% to 8.0% on etching. The increased inhomogeneity is explained by the formation of track-like damages, which have been observed using Form Talysurf, SEM, optical microscope and atomic force microscope. With this relative large coefficient of variation, the thickness of the active layer in the LR 115 detector cannot be assumed to be homogeneous in general, and the associated uncertainties should be considered carefully when the detector is used for alpha spectroscopy.  相似文献   

10.
马可军  俞振中  金刚  曹菊英 《物理学报》1982,31(9):1285-1288
实验观察了HCl-Fe+++溶液显示Insb{111}晶面位错蚀坑的精细结构,研究了蚀坑结构与位错线走向以及滑移面的关系,并讨论了这种蚀坑形成的动力学过程。研究结果表明,在<112>与<112>晶向的溶解台阶上,折角的不同核化几率与横向运动速度是位错饮坑具有二类不同性质溶解边缘的基本原因。 关键词:  相似文献   

11.
Observations of GeV heavy ion and MeV cluster-ion tracks in crystalline solids give us new insight into registration physics. Thermal and ion explosion spikes no longer compete; a ‘compound spike’ accounts for both. Ion explosion dominates for surface tracks (electronic sputtering). And there can also be transient plasma stopping in the bulk. For clusters there are ‘vicinage effects’—both electronic and nuclear—which can influence track dimensions and structure. Displacement cascades in large energetic clusters may lead to projectile “fission” and coherent flow into sub-tracks. The absence of tracks in certain targets, and their size/structure in others, leads to a model of projectile assisted prompt anneal (PAPA) in 10−11s, either partial or complete, often by swift epitaxy, on elemental lattices (e.g. silicon) or on compound sublattices (e.g. fluorite). Phase transformations are important, but simple target amorphization is rare—the exception, not the rule. For many targets the thermal spike (macroscopic) fails, since ‘point’ defects (atomistic) characteristic of the target, their motion, and the electronic band structure, determine latent track detail. Circumstances in which the Bragg Rule of Additivity fails completely are revealed, and the kinetic threshold for constructive phase transitions in tracks described. This same track physics applies generally also to geothermometry—the opposite time extremum (10+11s)—where annealing is due to defect assisted delayed anneal (DADA). Differences between etching rates of induced and spontaneous fission tracks can be explained. The geothermobarometric “Wendt/Vidal effect” (2002)—combined pressure, temperature and stress (with time) influences on fission track annealing (in e.g. apatite)—is briefly discussed.  相似文献   

12.
It has been well established that different ionising radiations modify the track registration properties of dielectric solids. In an effort to study the response of Polyallyl diglycol carbonate (PADC Homalite) detector towards fission fragment, PADC detectors were exposed to 104 Gy dose of 62 MeV protons and then one set of samples were exposed to fission fragments from a 252Cf source. Two of these detectors were containing a thin layer of Buckminsterfullerene (C60). The study of the etched tracks by Leitz Optical Microscope reveals that the track diameters are enhanced by more than 70% in the proton irradiated zone as compared to that in the unirradiated zone. Scanning Electron Microscopy was performed after etching the sample in 6 N NaOH at 55°C for different etching times, to study the details of the surface modifications due to proton irradiation of PADC detectors with and without C60 layer. Our observations revealed that the diameters and density of proton tracks have increased with etching time on the surface facing the fullerene layer as well as the other surface. However, a relatively more open structure of the etched surface containing C60 as compared to the bare one may be an indication of the extra damage caused by the energy released upon the destruction of C60 molecules by energetic protons.  相似文献   

13.
Chronology is rather a weak point in the investigation of pallasites, the stony-iron meteorites. No chronological data are known for the Bragin pallasite. Our attempt to reconstruct its cosmic history was based on the interpretation of fission-track analysis data. To apply this method only uranium-rich phosphates can be used. Extremely rare grains of stanfieldite were extracted from the silicate sawing residue and from the pallasite sample directly.

The researches pursued by us made it possible to find two populations of fossil tracks in stanfieldite grains. The tracks of these populations strongly differed both in size, shape and character of distribution. The first population, consisting of short (L2–6 μm instead of L8–12 μm for induced fission tracks), round-shaped tracks irregularly distributed, as we suppose, suffered an intense heating process, which caused a significant amount of partial annealing. The second population, consisting of longer (L8–12 μm), rhombic-shaped tracks homogeneously distributed, occurred after this thermal event. Only the second population track density was used for the fission-track age calculation.

After correction of the fossil track density, consisting of the second population tracks, for other possible track sources, the revealed tracks were unequivocally identified as those due to the spontaneous fission of 244Pu and 238U. The largest part of them was attributed to the spontaneous fission of 244Pu; ρPuU≈3. The model fission-track age of the studied pallasite turned out to be 4.20 Gyr. This value fix the time of the last shock/thermal event in the cosmic history of the Bragin pallasite, which had caused the partial annealing of tracks presented to that time and “fission-track clock” reset.  相似文献   


14.
In the past five years were carried out SANS (Small-Angle Neutron Scattering measurements in the JINR to investigate latent and short etched tracks in SSNTD. The results demonstrate the suitability of the method to study not etched and short etched tracks to analyse the etching process, e.g. for nuclear track filter production. The results are in good agreement with other methods as conductivity measurements.  相似文献   

15.
We propose theoretical consideration, computer modeling and comparison with our recent experimental results for information pits recording and etching processes in chalcogenide vitreous semiconductors using Gaussian laser beam and selective etching. Our calculations demonstrate that photo-transformed region cross-section could be almost trapezoidal or parabolic depending on the photoresist material optical absorption, exposure, etchant selectivity and etching time. Thus our approach open possibilities how to select the necessary recording procedure and etching conditions in order to obtain pits with the optimum shape and sizes in As40S60 chalcogenide semiconductor. Obtained results quantitatively describe the characteristics of pits recorded by the Gaussian laser beam in thin film of As40S60.  相似文献   

16.
Chemical etching characteristics of mica, Lexan and cellulose acetate have been studied for fission fragment tracks. Under suitable etching conditions a few critical etching parameters for these three track detectors have been determined. An empirical relationship between complete etching time and the etching temperature has been established.  相似文献   

17.
The technique of electrochemical etching of irradiated polymer films is an useful method to investigate structures of the track cores. In the case of the investigation of multiple track foils, the mean effective radius corresponds to the average of all synchron etching pores. On the other hand, the etching cones of all tracks do not break through to conducting micro channels coincidentally. The statistical character of this pore opening (break through) process is still unexplained, although several effects concerning this topic have been observed in the past. Another computer program simulates by way of the Monte Carlo Method the etching process of an ensemble of tracks within a thin polymer film. The conductivity of the multiple track etching foil can be described by the convolution between the conductivity of a single pore and the time dependent breakthrough rate. By way of the Laplace Transforms the measurements of the multiple and single track etching polymer films can be deconvoluted and yield the statistical nature of the pore opening process.  相似文献   

18.
Track registration properties in polyimide films, KAPTON, for heavy ions have been examined by means of FT-IR spectrometry and the chemical etching in sodium hypochlorite solution. The effective track core size for the loss of CO and C–N–C composing imide bonds, and diphenyl ethers of C–O–C have been evaluated under the irradiations by Ne, Fe and Xe ions at energies less than 6 MeV/n. On the other hand, the etching property of the polyimide films has been examined in the sodium hypochlorite solution at temperature of 55 °C. Before the etchings, the films were exposed to H, C, Ne, Fe and Xe ions, at incident energies below 6 MeV/n. The etch pits are found only on the films exposed to Fe and Xe ions, indicating significant difference on the etch pit size between them. This implies that the polyimide film has charge or energy resolution for these relatively heavy ions. The threshold level of the etchable track registration is inferred to be around 2500 keV/μm. The effective track core radius at this stopping power for the loss of diphenyl ether is 1.6 nm, which is equivalent to the length between the adjacent diphenyl ether bonds in the polyimide chains. Breakings at two adjacent diphenyl ethers in radial direction of latent tracks may produce etchable tracks in KAPTON.  相似文献   

19.
We characterized the surface defects in a-plane GaN, grown onto r-plane sapphire using a defect-selective etching (DSE) method. The surface morphology of etching pits in a-plane GaN was investigated by using different combination ratios of H3PO4 and H2SO4 etching media. Different local etching rates between smooth and defect-related surfaces caused variation of the etch pits made by a 1:3 ratio of H3PO4/H2SO4 etching solution. Analysis results of surface morphology and composition after etching by scanning electron microscopy (SEM) and X-ray photoelectron spectroscopy (XPS) demonstrated that wet chemical etching conditions could show the differences in surface morphology and chemical bonding on the a-plane GaN surface. The etch pits density (EPD) was determined as 3.1 × 108 cm−2 by atom force microscopy (AFM).  相似文献   

20.
《Radiation measurements》2000,32(4):289-297
Diallyl phthalate (DAP) and allyl diglycol carbonate (CR-39), and mixtures of DAP and CR-39 were cast into plates under the same polymerizing conditions. The plates were irradiated with alpha-particles, fission fragments or fast neutrons. After etching, enlarged tracks on the plates were observed and counted using an optical microscope. Pure DAP plate was found to have high detection efficiency for fission fragments, but to be insensitive to alpha-particles and fast neutrons. This characteristic was suitable for detecting fission fragments. On the other hand, pure CR-39 plate was convenient for the detection of alpha-particles and fast neutrons because of high detection efficiency and sensitivity, and short etching time. The copolymers of DAP and CR-39 showed intermediate characteristics. The fabrication of the copolymers made it possible to control the discrimination level for the detection of heavy charged particles.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号