首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到17条相似文献,搜索用时 62 毫秒
1.
近年来直接数字合成器(DDS)在高速化和多功能化方面又有了长足的进步。出现了时钟频率达GHz的DDS器件。本文介绍的美国模拟器件公司生产的AD9858的时钟频率最高可达1GHz,可直接形成0~400MHz的正弦波。可工作于单频模式和扫频模式,频率切换速度仅为83ns。若预先将有关数据存储在存储器中,可以将切换时间缩短至8ns,DDS通常作为产生正弦波的信号源使用。  相似文献   

2.
AD9858在捷变频频率合成器设计中的应用   总被引:1,自引:0,他引:1  
余军  庞翔  夏永祥 《电讯技术》2007,47(2):96-99
系统地介绍了一种低杂散、低相位噪声、快速捷变频频率合成器的实现途径,用TMS320VC5409控制AD9858得到宽带、低相噪、高SFDR(无杂散动态范围)的输出信号.文中详细阐述了高性能DDS芯片AD9858的主要性能及其应用方法,着重说明了AD9858时钟电路的设计、调试方法,并给出了用PN9000相位噪声仪测试的结果.该数字频率合成器通过编程可方便地实现单点频、线性调频和调相功能, 经过实际应用达到了比较满意的效果.  相似文献   

3.
基于AD9958的双通道直接频率合成器的设计   总被引:5,自引:0,他引:5  
赵云娣  杨小献 《无线电工程》2006,36(3):45-46,50
介绍了高性能DDS器件AD9958的主要特点和内部结构,简单描述了AD9958用作直接频率合成器时输出单频信号的控制方法。给出了利用89C51系列单片机和AD9858组成的双通道直接频率合成器的设计框图。结果表明以AD9958作为核心部件设计的双通道直接频率合成器可以对通道间的不均衡进行校正,也能够对多片AD9958实现同步。  相似文献   

4.
基于AD9858的快速捷变频频率合成器的设计   总被引:6,自引:3,他引:3  
选用内部时钟可达1GHz的高性能直接数字合成频率源DDS芯片AD9858作为核心器件设计频率合成器,采用DDS DSP SAWO的设计方案,设计成功905MHz低相噪、高稳定度的声表面波振荡器为AD9858提供参考时钟,整个系统采用高性能的DSP作为控制电路。文中详细阐述了AD9858芯片的主要性能及其在快速捷变频频率合成器设计中的应用方法。  相似文献   

5.
基于AD9858的锁相环频率合成器电路的设计和实现   总被引:3,自引:0,他引:3  
简单介绍了AD公司生产的直接数字频率合成(DDS)器件AD9858的系统结构和工作原理,以及了一款国产的数字锁相环集成电路SB3336的基本原理和性能特点,在此基础上讨论了将AD9858产生的信号作为参考频率输入到SB3336后直接合成高达3.5GHZ的激励信号的方法。  相似文献   

6.
马丽 《电光系统》2003,(4):50-52
对直接数字频率合成器(DDS)的杂散进行分析.并从实际应用出发.给出了AD9852在串口中的应用.以及输出信号的处理结果.并且和锁相环PLL结合起来.达到了比较满意的效果。  相似文献   

7.
与PLL频率合成器相比较 ,数字频率合成器 (DDS)有合成频率相对范围宽、频率切换时间短、合成频率精度高等优点 ,因而应用较广。但由于DDS的数字特征 ,DDS输出的频谱特性不易分析。文章在阐述DDS(以SIN输出DDS为例 )结构和工作原理的基础上 ,引导出一种DDS频谱的分析方法 ,谨供DDS的使用者参考。  相似文献   

8.
9.
基于FPGA的直接数字频率合成器的实现   总被引:7,自引:0,他引:7  
由于直接数字频率合成器(DDS)具有其它频率合成器无法比拟的优势而受到青睐。介绍了DDS的基本原理和特点,以及利用现场可编程门阵列(FPGA)实现DDS的过程,给出了基于MATLAB仿真语言的波形仿真结果,利用FPGA器件设计DDS,大大地简化了电路设计过程,缩短了调试时间,提高了可靠性,FPGA的可编程性为修改、添加和优化DDS的功能提供了方便。  相似文献   

10.
直接数字频率合成器的设计及FPGA实现   总被引:15,自引:2,他引:15  
直接数字频率合成器(DDS)通常使用查表的方法实现相位和幅值的转换,文章介绍了一种基于CORDIC算法的DDS。CORDIC算法在三角函数合成上有着广泛的用途,作者从DDS的一般结构和CORDIC算法的基本原理出发.深入探讨了基于CORDIC算法的DDS各部件的结构和FPGA实现。  相似文献   

11.
一种高效实用的直接数字频率合成器的设计和实现   总被引:2,自引:1,他引:1  
在介绍DDS原理和特点的基础上,充分利用正弦函数的对称性,给出了DDS的一种实现方案,详细阐述了用FPGA实现该方案的方法,文章的最后给出了仿真结果。  相似文献   

12.
DDS具有频率转换速度快、频率分辨率高等特点,广泛应用于跳频通信及电子对抗领域。本文介绍了一种利用DDS芯片(AD9858)的快速跳频特性实现时分多信道干扰的技术。  相似文献   

13.
直接数字频率合成器的优化技术研究   总被引:2,自引:0,他引:2  
郑利文 《现代电子技术》2010,33(18):143-144,159
详细阐述了利用QuartusⅡ实现直接数字频率合成器(DDS)的方法和步骤。分析了DDS的设计原理,采用多级流水线控制技术对DDS相位累加器进行了优化,利用存储对称波形方法对波形存储表进行了优化,并在开发环境下进行了功能仿真,选用现场可编程器件FPGA作为目标器件,得到了可以重构的IP核,实现了复杂的调频功能。利用该方法实现的DDS模块具有更广泛的实际意义和更良好的实用性。  相似文献   

14.
本文将FPGA器件和DDS技术相结合,确定了FPGA器件的整体设计方案。笔者利用FPGA器件规模大、设计灵活方便的特点,分析研究了用FPGA器件实现DDS系统的方法,并对其关键技术进行了优化处理,采用流水线结构的相位累加器设计和FPGA内嵌的波形存储器设计,在Quartus II软件中采用基于硬件描述语言(VHDL)的自顶向下的设计方法来完成仿真实验。  相似文献   

15.
基于VHDL的直接数字频率合成器设计与实现   总被引:1,自引:1,他引:0  
从直接数字频率合成器(DDS)的基本原理出发,详细讨论了相位量化字长对DDS性能的影响,并给出了相位控制字与振幅控制字长度与量化信噪比的关系。结合相位量化字长为24 b、振幅量化字长为10 b的正弦波DDS设计过程,给出了查表法实现的一个DDS设计实例。文中所附代码均通过Max PlusⅡ编译通过,可直接应用,同时,指出了改进查表法实现的DDS性能的几个基本途径。  相似文献   

16.
Based on the parabolic approximation, which was recently introduced by the authors, a new architecture for sine-output direct digital frequency synthesizers has been developed. Due to using this approximation, and also considering several memory-reduction techniques, the proposed architecture is so designed that needs only 728 bits read-only memory for mapping a 12-bit phase address to 10-bit sine amplitude. The synthesizer has also been implemented and the experimental results show its desired operation and performance.  相似文献   

17.
生物电阻抗扫描成像技术在妇女乳腺癌早期检查中要求采用高精度、多频率、相位可控的正弦激励源信号,输入到人体组织,通过检测响应信号来获取癌变组织的生理和病理信息。介绍了EIS(电阻抗扫描)的基本概念,重点介绍利用FPGA(现场可编程门阵列)实现DDS(直接数字频率合成)的原理;同时结合周期合成技术完成对激励信号和响应信号的采样,以满足EIS中成像需要,并给出了关键性Verilog代码。采用该方法设计的EIS激励源可以很容易地嵌入到EIS控制系统中,而不用专用DDS芯片,具有高性能、高性价比、电路结构简单等特点。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号