首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
张林  张义门  张玉明  韩超  马永吉 《物理学报》2009,58(4):2737-2741
对制备的Ni/4H-SiC肖特基势垒二极管(SBD)进行了γ射线辐照试验,并在辐照过程中对器件分别加0和-30?V偏压.经过1?Mrad(Si)总剂量的γ射线辐照后,不同辐照偏压下的Ni/4H-SiC肖特基接触的势垒高度和理想因子没有退化,SiC外延层中的少子寿命也没有退化.辐照后器件的反向电流下降,这是由于器件表面的负界面电荷增加引起的.研究表明,辐照偏压对Ni/4H-SiC SBD的辐照退化效应没有明显的影响. 关键词: 碳化硅 肖特基 辐照效应 偏压  相似文献   

2.
张林  肖剑  邱彦章  程鸿亮 《物理学报》2011,60(5):56106-056106
本文采用γ射线、高能电子和中子对Ti/4H-SiC肖特基势垒二极管(SBD)的抗辐射特性进行了研究.研究发现对于γ射线和1 MeV电子辐照,-30 V辐照偏压对器件的辐照效应没有明显的影响.经过1 Mrad(Si)的γ射线或者1×l013 n/cm2的中子辐照后,Ti/4H-SiC肖特基接触都没有明显退化;经过3.43×1014 e/cm2的1 MeV电子辐照后Ti/4H-SiC的势垒高度比辐照前轻微下降,这是由于高能 关键词: 碳化硅 肖特基 辐照 偏压  相似文献   

3.
This paper describes the fabrication and characteristics of the lateral Ti/4H-SiC Schottky barrier diodes (SBDs). SBDs are fabricated by nitrogen ion implantation into p-type 4H-SiC epitaxial layer. The implant depth profile is simulated using the Monte Carlo simulator TRIM. Measurements of the reverse I-V characteristics demonstrate a low reverse current, that is good enough for many SiC-based devices such as SiC metal-semiconductor field-effect transistors, and SiC static induction transistors. The parameters of the diodes are extracted from the forward I-V characteristics. The barrier height φ_b of Ti/4H-SiC is 0.95 eV.  相似文献   

4.
Ir/4H-SiC and IrO2/4H-SiC Schottky diodes are reported in terms of different methods of surface pretreatment before contact deposition. In order to find the effect of surface preparation processes on Schottky characteristics the SiC wafers were respectively cleaned using the following processes: (1) RCA method followed by buffered HF dip. Next, the surface was oxidized (5.5 nm oxide) using a rapid thermal processing reactor chamber and circular geometry windows were opened in the oxide layer before metallization deposition; (2) the same as sequence (1) but with an additional in situ sputter etching step before metallization deposition; (3) cleaning in organic solvents followed by buffered HF dip. The I-V characteristics of Schottky diodes were analyzed to find a correlation between extracted parameters and surface treatment. The best results were obtained for the sequence (1) taking into account theoretical value of Schottky barrier height. The contacts showed excellent Schottky behavior with ideality factors below 1.08 and barrier heights of 1.46 eV and 1.64 eV for Ir and IrO2, respectively. Very promising results were obtained for samples prepared using the sequence (2) taking into account the total static power losses because the modified surface preparation results in a decrease in the forward voltage drop and reverse leakage current simultaneously. The contacts with ideality factor below 1.09 and barrier height of 1.02 eV were fabricated for Ir/4H-SiC diodes in sequence (2).  相似文献   

5.
王守国  张岩  张义门  张玉明 《中国物理 B》2010,19(1):17204-017204
The ohmic contacts of 4H-SiC are fabricated on nitrogen ion implanted layers made by performing box-like-profile implantation three and four times. Implantation parameters such as the standard deviation σ and the projection range Rp are calculated by the Monte Carlo simulator TRIM. Ni/Cr ohmic contacts on Si-face 4H-SiC implantation layers are measured by transfer length methods (TLMs). The results show that the values of sheet resistance Rsh are 30~kΩ /□ and 4.9~kΩ/□ and the values of specific contact resistance ρc of ohmic contacts are 7.1× 10-4Ω.cm2 and 9.5× 10-5Ω.cm2 for the implanted layers with implantation performed three and four times respectively.  相似文献   

6.
王守国  张岩  张义门  张玉明 《中国物理 B》2010,19(1):17203-017203
Ion-implantation layers are fabricated by multiple nitrogen ion-implantations (3 times for sample A and 4 times for sample B) into a p-type 4H-SiC epitaxial layer. The implantation depth profiles are calculated by using the Monte Carlo simulator TRIM. The fabrication process and the I--V and C--V characteristics of the lateral Ti/4H-SiC Schottky barrier diodes (SBDs) fabricated on these multiple box-like ion-implantation layers are presented in detail. Measurements of the reverse I--V characteristics demonstrate a low reverse current, which is good enough for many SiC-based devices such as SiC metal--semiconductor field-effect transistors (MESFETs), and SiC static induction transistors (SITs). The parameters of the diodes are extracted from the forward I--V and C--V characteristics. The values of ideality factor n of SBDs for samples A and B are 3.0 and 3.5 respectively, and the values of series resistance R_\rm s are 11.9 and 1.0~kΩ respectively. The values of barrier height φ _\rm B of Ti/4H-SiC are 0.95 and 0.72 eV obtained by the I--V method and 1.14 and 0.93 eV obtained by the C--V method for samples A and B respectively. The activation rates for the implanted nitrogen ions of samples A and B are 2\% and 4\% respectively extracted from C--V testing results.  相似文献   

7.
The effective barrier heights and ideality factors of identically fabricated Ni/n-type 6 H-SiC Schottky diodes (23 dots) have been calculated from their experimental forward bias current–voltage (I–V) and reverse bias capacitance–voltage (C–V) characteristics. A statistical study related to the experimental barrier heights (BHs) and ideality factors of the diodes has been made. The effective Schottky barrier heights (SBHs) and ideality factors obtained from the I–V and C–V characteristics have differed from diode to diode. The BHs obtained from the I–V characteristics varied from 0.85 to 1.03 eV, the ideality factors varied from 1.13 to 1.40 and the BHs from C-2–V characteristics varied from 1.10 to 1.70 eV. The experimental BH and ideality factor distributions obtained from the I–V characteristics are fitted by a Gaussian function, and their mean values are found to be 0.92±0.04 eV and 1.29±0.08 eV, respectively. The lateral homogeneous SBH value of 1.16 eV for the Ni/n-type 6H-SiC diodes has been calculated from a linear extrapolation of the effective barrier heights to nif=1.03. PACS 79.40.+z; 73.40.Sx; 73.30.+y; 71.20.Nr  相似文献   

8.
The 4H-SiC junction barrier Schottky (JBS) diodes terminated by field guard rings and offset field plate are designed, fabricated and characterized. It is shown experimentally that a 3-μm P-type implantation window spacing gives an optimum trade-off between forward drop voltage and leakage current density for these diodes, yielding a specific on-resistance of 8.3 mΩ·cm2. A JBS diode with a turn-on voltage of 0.65 V and a reverse current density less than 1 A/cm2 under 500 V is fabricated, and the reverse recovery time is tested to be 80 ns, and the peak reverse current is 28.1 mA. Temperature-dependent characteristics are also studied in a temperature range of 75 ℃-200 ℃. The diode shows a stable Schottky barrier height of up to 200 ℃ and a stable operation under a continuous forward current of 100 A/cm2.  相似文献   

9.
A thin poly(ethylmethacrylate) (PEMA) layer is deposited on n-InP as an interlayer for electronic modification of Au/n-InP Schottky structure. The electrical properties of Au/PEMA/n-InP Schottky diode have been investigated by current–voltage (IV) and capacitance–voltage (CV) measurements at different annealing temperatures. Experimental results show that Au/PEMA/n-InP structure exhibit a good rectifying behavior. An effective barrier height as high as 0.83 eV (IV) and 1.09 eV (CV) is achieved for the Au/PEMA/n-InP Schottky structure after annealing at 150 °C compared to the as-deposited and annealed at 100 and 200 °C. Modified Norde's functions and Cheung method are also employed to calculate the barrier height, series resistance and ideality factors. Results show that the barrier height increases upon annealing at 150 °C and then slightly decreases after annealing at 200 °C. The PEMA layer increases the effective barrier height of the structure as this layer creates a physical barrier between the Au metal and the n-InP. Terman's method is used to determine the interface state density and it is found to be 5.141 × 1012 and 4.660 × 1012 cm?2 eV?1 for the as-deposited and 200 °C annealed Au/PEMA/n-InP Schottky diodes. Finally, it is observed that the Schottky diode parameters change with increasing annealing temperature.  相似文献   

10.
In this paper, 1.2 kV, 3.3 kV, and 5.0 kV class 4H–SiC power Schottky barrier diodes(SBDs)are fabricated with three N-type drift layer thickness values of 10 μm, 30 μm, and 50 μm, respectively. The avalanche breakdown capabilities,static and transient characteristics of the fabricated devices are measured in detail and compared with the theoretical predictions. It is found that the experimental results match well with the theoretical calculation results and are very close to the 4H–SiC theoretical limit line. The best achieved breakdown voltages(BVs) of the diodes on the 10 μm, 30 μm, and 50 μm epilayers are 1400 V, 3320 V, and 5200 V, respectively. Differential specific-on resistances(R_(on-sp)) are 2.1 m?·cm~2,7.34m?·cm~2, and 30.3 m?·cm~2, respectively.  相似文献   

11.
The reported work has been focused on the improvement of electrical parameters of Schottky diode using vacuum annealing at mild temperature in Ar gas ambient. Nickel Schottky barrier diodes were fabricated on 50 μm epitaxial layer of n-type 4H-SiC (0 0 0 1) substrate. The values of leakage current, Schottky barrier height (?B), ideality factor (η) and density of interface states (NSS) were obtained from experimentally measured current–voltage (IV) and capacitance–voltage (CV) characteristics before and after vacuum annealing treatment. The data revealed that ?B, η and reverse leakage current for the as-processed diodes are 1.25 eV, 1.6 and 1.2 nA (at ?100 V), respectively, while for vacuum annealed diodes these parameters are 1.36 eV, 1.3 and 900 pA (at same reverse voltage). Improved characteristics have been resulted under the influence of vacuum annealing because of lesser number of minority carrier generation due to incessant reduction of number of available discrete energy levels in the bandgap of 4H-SiC substrate and lesser number of interface states density at Ni/4H-SiC (0 0 0 1) interface.  相似文献   

12.
Transparent and efficient poly-ZnO ultraviolet Schottky diodes grown at different temperatures with indium-tin-oxide (ITO) as the metallic contact layer were fabricated with hydrogen peroxide (H2O2) applied as a surface treatment at 70 °C for 20 min. Analysis via field-emission scanning electron microscopy (FESEM) and X-ray photoelectron spectroscopy (XPS) demonstrated that the ZnO films underwent gradual oxidation and that H2O2 treatment resulted in an interfacial ZnO2 layer that covered the ZnO surface. IV measurements indicated that the ideality factor and the Schottky barrier height improved with increasing shunt resistance, and the trade-off between film quality and the degree of oxidation revealed that films grown at 400 °C exhibited the best diode characteristics.  相似文献   

13.
We report on the successful post-implantation annealing of 4H-SiC samples that were implanted at elevated temperature with high-dose Al implants. Anneals at temperatures up to 1700 °C were conducted in a silane ambient at a process pressure of 150 Torr using a cold wall CVD reactor. A series of 30 min anneals were performed in 3% silane premixed in 97% UHP argon (Ar), which was further diluted in a 6 slm Ar carrier gas. The surface morphology of the samples was studied via plan-view secondary electron microscopy (SEM) and atomic force microscopy (AFM). The resulting surface morphology showed no evidence of step bunching or any other surface degradation. We also report the electrical characterization of Al+ implanted p+/n 4H-SiC diodes realized on samples that were annealed at 1600 °C. The current-voltage characteristics of the p+/n diodes and the resistivity of the implanted layer were measured at room temperature. Most of the diodes had a turn-on voltage of 1.75 V, with ideality factors of up to 1.2 and very low reverse leakage current at −100 V, corresponding to an average reverse leakage current density of (9.7 ± 0.4) × 10−9 A/cm2. The breakdown voltage was near the theoretical value for an epitaxial 4H-SiC layer. However, the resistivity value of the implanted Al+ layer was 11 Ω cm, which was an order magnitude higher than the expected value.  相似文献   

14.
A report on the fabrication and characterization of high performance conventional and ring-shaped AlGaN/GaN Schottky barrier diode on Si is presented. The resulting device exhibited low leakage current, which led to a detectivity performance of 3.48×1013 and 1.76×1013 cm?Hz1/2 W?1, respectively, for both conventional and ring-shaped Schottky diode. The differential resistances of both devices were obtained at approximately 1.37×1012 and 1.41×1013 Ω, respectively. The zero bias peak responsivities of conventional and ring-shaped Schottky diodes were estimated to be 3.18 and 2.08 A?cm?2/W, respectively. The typical UV to visible rejection ratio was observed over three orders of magnitude at zero bias. The CV measurements was used to calculate and analyze the polarization sheet charge density of the AlGaN barrier layer by using self-consistently solving Schrodinger’s and Poisson’s equations. It is demonstrated that the ring shape of the Schottky barrier has higher polarization sheet charge density, which has the consequence that the Schottky shape has influence on the strain of the AlGaN barrier layer.  相似文献   

15.
4H-SiC肖特基二极管的电荷收集特性   总被引:1,自引:1,他引:0       下载免费PDF全文
针对极端环境下耐辐照半导体核探测器的研制需求,采用耐高温、耐辐照的4H碳化硅(4H-SiC)宽禁带材料制成肖特基二极管,研究了该探测器对241Am源粒子的电荷收集效率。从电容-电压曲线得出该二极管外延层净掺杂数密度为1.991015/cm3。从正向电流-电压曲线获得该二极管肖特基势垒高度为1.66 eV,理想因子为1.07,表明该探测器具备良好的热电子发射特性。在反向偏压高达700 V时,该二极管未击穿,其漏电流仅为21 nA,具有较高的击穿电压。在反向偏压为0~350 V范围内研究了该探测器对3.5 MeV 粒子电荷收集效率,在0 V时为48.7%,在150 V时为99.4%,表明该探测器具有良好的电荷收集特性。  相似文献   

16.
Thin films and Schottky diodes dots of ruthenium (Ru) on bulk-grown n-type-6-hexagonal-silicon carbide (6H-SiC) were annealed isochronally in a vacuum furnace at temperatures ranging from 500–1,000 °C. Rutherford backscattering spectroscopy analysis of the thin films showed formation of ruthenium silicide (Ru2Si3) at 800 °C, while diffusion of Ru into 6H-SiC commenced at 800 °C. Raman analysis of the thin films annealed at 1,000 °C showed clear D and G carbon peaks which was evidence of formation of graphite. At this annealing temperature, the Schottky contact was observed to convert to an ohmic contact, as evidenced by the linearity of current–voltage characteristic, thereby, rendering the diode unusable. The transformation from Schottky contact to ohmic contact is attributed to graphite formation at the interface.  相似文献   

17.
This paper reports that Ni and Ti/4H-SiC Schottky barrier diodes (SBDs) were fabricated and irradiated with 1~MeV electrons up to a dose of 3.43×1014~e/cm2. After radiation, the Schottky barrier height φ B of the Ni/4H-SiC SBD increased from 1.20~eV to 1.21~eV, but decreased from 0.95~eV to 0.94~eV for the Ti/4H-SiC SBD. The degradation of φ B could be explained by interface states of changed Schottky contacts. The on-state resistance RS of both diodes increased with the dose, which can be ascribed to the radiation defects. The reverse current of the Ni/4H-SiC SBD slightly increased, but for the Ti/4H-SiC SBD it basically remained the same. At room temperature, φ B of the diodes recovered completely after one week, and the RS partly recovered.  相似文献   

18.
In this paper, we investigate the influence of deep level defects on the electrical properties of Ni/4H-SiC Schottky diodes by analyzing device current-voltage(I-V) characteristics and deep-level transient spectra(DLTS). Two Schottky barrier heights(SBHs) with different temperature dependences are found in Ni/4 H-SiC Schottky diode above room temperature. DLTS measurements further reveal that two kinds of defects Z_(1/2) and Ti(c)~a are located near the interface between Ni and SiC with the energy levels of E_C-0.67 eV and E_C-0.16 eV respectively. The latter one as the ionized titanium acceptor residing at cubic Si lattice site is thought to be responsible for the low SBH in the localized region of the diode, and therefore inducing the high reverse leakage current of the diode. The experimental results indicate that the Ti(c)~a defect has a strong influence on the electrical and thermal properties of the 4 H-SiC Schottky diode.  相似文献   

19.
Gallium Nitride (GaN) and related materials (especially AlGaN) recently have attracted a lot of interest for applications in high-power electronics capable of operation at elevated temperatures and high frequencies. The AlGaInN system offers numerous advantages. These include wide bandgaps, good transport properties, the availability of heterostructures (particularly AlGaN/GaN), the experience base gained by the commercialization of GaN-based laser and light-emitting diodes and the existence of a high growth rate epitaxial method (hydride vapor phase epitaxy, HVPE) for producing very thick layers or even quasisubstrates. These attributes have led to rapid progress in the realization of a broad range of GaN electronic devices. AlxGa1-xN (x=0 ~.25) Schottky rectifiers were fabricated in a lateral geometry employing p+-implanted guard rings and rectifying contact overlap onto an SiO2 passivation layer. The reverse breakdown voltage (VB) increased with the spacing between Schottky and ohmic metal contacts, reaching 9700 V for Al0.25Ga0.75N and 6350 V for GaN, respectively, for 100-µm gap spacing. Assuming lateral depletion, these values correspond to breakdown field strengths of <9.67×105 Vcm?2, which is roughly a factor of 5 lower than the theoretical maximum in bulk GaN. The figure of merit (VB)2/RON, where RON is the on-state resistance, was in the range 94 to 268 MWcm?2 for all the devices. Edge-terminated Schottky rectifiers were also fabricated on quasibulk GaN substrates grown by HVPE. For small-diameter (75?µm) Schottky contacts, Vs measured in the vertical geometry was ~700?V, with an on-state resistance (RON) of 3?mΩcm2, producing a figure-of-merit VB 2/RON of 162.8?MW-cm?2. GaN p-i-n diodes were also fabricated. A direct comparison of GaN p-i-n and Schottky rectifiers fabricated on the same GaN wafer showed higher reverse breakdown voltage for the former (490?V vs. 347?V for the Schottky diodes), but lower forward turn-on voltages for the latter (~3.5?V vs. ~5?V for the p-i-n diodes). The forward I-V characteristics of the p-i-n rectifiers show behavior consistent with a multiple recombination center model. The reverse current in both types of rectifiers was dominated by surface perimeter leakage at moderate bias. Finally, all of the devices we fabricated showed negative temperature coefficients for reverse breakdown voltage, which is a clear disadvantage for elevated temperature operation. Bipolar devices are particularly interesting for high current applications such as microwave power amplifiers for radar, satellite, and communication in the l~5?GHz range, powers >l00?W, and operating temperatures >425°C. pnp Bipolar Junction Transistors and pnp Heterojunction Bipolar Transistors were demonstrated for the first time. For power microwave applications, small area self-aligned npn GaN/AlGaN HBTs were attempted. The devices showed very promising direct current characteristics.  相似文献   

20.
The current-voltage characteristics of 4H-SiC junction barrier Schottky(JBS) diodes terminated by an offset field plate have been measured in the temperature range of 25-300 C.An experimental barrier height value of about 0.5 eV is obtained for the Ti/4H-SiC JBS diodes at room temperature.A decrease in the experimental barrier height and an increase in the ideality factor with decreasing temperature are shown.Reverse recovery testing also shows the temperature dependence of the peak recovery current density and the reverse recovery time.Finally,a discussion of reducing the reverse recovery time is presented.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号