首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
In this paper we describe the formation of a luminescent (NH4)2SiF6 via porous silicon (PS) obtained from HNO3/HF vapour etching (VE) silicon (Si) substrates. It was found that at specific conditions, PS transforms in a luminescent thick white powder (WP) layer. Scanning electron microscopy (SEM) revealed that the WP has a coral-like structure. It was also found that PS persists as an intermediate layer between the Si substrate and the WP, and seems to be the seed that transforms into the WP. SEM microanalysis show that the WP is essentially composed of silicon (Si), nitrogen (N) and fluorine (F). Fourier transform infrared (FTIR) spectroscopy investigations show that this WP contains SiF62− and NH4+ ions and N---H chemical bonds. X-ray diffraction (XRD) patterns of the WP confirm that a (NH4)2SiF6 cubic phase is concerned. SEM microanalyses show an excess of Si in the WP matrix. FTIR spectroscopy and XRD analysis reveal the presence of crystalline Si particles and SiOx, both originating from the excess of Si. The (NH4)2SiF6 WP phase emits an intense photoluminescence (PL) band, shifted towards higher energies as compared to the starting PS layer. The possible origin and mechanism of the luminescence emission was discussed taking into account the ability of small SiOx-surrounded Si particles to emit PL at rather high energy. The wide range variation of the thickness of the (NH4)2SiF6 WP may be easily used for the grooving of Si wafers.  相似文献   

2.
CH4/H2-based discharges are attractive for dry etching of single crystal ZnO because of their non-corrosive nature. We show that substitution of C2H6 for CH4 increases the ZnO etch rate by approximately a factor of 2 both with and without any inert gas additive. The C2H6/H2/Ar mixture provides a strong enhancement over pure Ar sputtering, in sharp contrast to the case of CH4/H2/Ar. The threshold ion energy for initiating etching is 42.4 eV for C2H6/H2/Ar and 59.8 eV for CH4/H2/Ar. The etched surface morphologies were smooth, independent of the chemistry and the Zn/O ratio in the near-surface region was unchanged within experimental error after etching with both chemistries. The plasma etching improved the band-edge photoluminescence intensity and suppressed the deep level emission from the bulk ZnO under our conditions, due possibly to removal of surface contamination layer.  相似文献   

3.
Metal–organic chemical vapour deposition growth of titanium oxide on moderately pre-oxidised Si(1 1 1) using the titanium(IV) isopropoxide precursor has been studied for two different growth modes, reaction-limited growth at 300 °C and flux-limited growth at 500 °C. The interfacial properties have been characterized by monitoring synchrotron radiation excited Si 2p photoemission spectra. The cross-linking from oxidised Si to bulk Si after TTIP exposure has been found to be very similar to that of SiOx/Si(1 1 1). However, the results show that the additional oxidation of Si most probably causes a corrugation of the SiOx/Si interface. Those conclusions are valid for both growth modes. A model is introduced in which the amorphous interface region is described as (TiO2)x(SiO2)y where x and y changes linearly and continuously over the interface. The model quantifies how (TiO2)x(SiO2)y mixing changes the relative intensities of the signals from silicon oxide and silicon. The method can be generalised and used for the analyses of other metal-oxides on silicon.  相似文献   

4.
Hangyao Wang 《Surface science》2009,603(16):L91-3016
Metal oxides are of interest as environmental oxidation catalysts, but practical applications are often limited by poorly understood surface poisoning processes. RuO2 is active for CO oxidation under UHV conditions but is deactivated by some surface poisoning processes at ambient pressures. In this work, we report kinetic models of surface poisoning during CO oxidation over RuO2(1 1 0), based on data obtained from plane-wave, supercell DFT calculations. While a surface carbonate is stable at low O2 pressures and high CO2 exposures, it is not stable under catalytic conditions. A surface bicarbonate is more stable and deactivates the RuO2 surface over a wide range of CO and oxygen pressures in the presence of trace amounts of water.  相似文献   

5.
This work investigates the photo-thermal treatment of solar grade (SG) silicon to reduce impurities to a low level suitable for high efficiency low-cost solar cells application. It describes experiment carried out by using a tungsten lamps furnace (rapid thermal processing, RTP) to purify solar grade silicon wafers using a combination of porous silicon (PS) and silicon tetrachloride. This process enables to attract the impurities towards the porous layer where they react with SiCl4 to form metallic chlorides. The gettering effect was studied using the Hall Effect and the Van Der Pauw methods to measure the resistivity, the majority carrier concentration and mobility. We have obtained a significant improvement of the majority carrier mobility after such thermo-chemical treatment. The gettering efficiency is also evaluated by the relative increase of the minority carrier diffusion length L, measured by the light beam induced current (LBIC) technique.  相似文献   

6.
First-principles calculations based on density functional theory and the pseudopotential method have been used to investigate the energetics of H2O adsorption on the (110) surface of TiO2 and SnO2. Full relaxation of all atomic positions is performed on slab systems with periodic boundary conditions, and cases of full and half coverage are studied. Both molecular and dissociative (H2O→OH+H) adsorption are treated, and allowance is made for relaxation of the adsorbed species to unsymmetrica configurations. It is found that for both TiO2 and SnO2 an unsymmetrical dissociated configuration is the most stable. The symmetrical molecularly adsorbed configuration is unstable with respect to lowering of symmetry, and is separated from the fully dissociated configuration by at most a very small energy barrier. The calculated dissociative adsorption energies for TiO2 and SnO2 are in reasonable agreement with the results of thermal desorption experiments. Calculated total and local electronic densities of states for dissociatively and molecularly adsorbed configurations are presented, and their relation with experimental UPS spectra is discussed.  相似文献   

7.
朱瑜  方芳  蒋刚  朱正和 《物理学报》2008,57(7):4134-4137
采用Gaussian 98程序,运用B3LYP方法,对Pd和Pb原子采用收缩价基组LANL2DZ,对Pb2和PdPb2分子的微观结构进行了理论计算. 由于Pb2分子离解后一个Pb原子处于基态,另一个Pb原子处于激发态,采用最小二乘法拟合Pb2分子的势能函数,选用的函数形式为Murrell-Sorbie势能函数加上开关函数. 使用多体展式理论导出了势函数中的参数进而给出PdPb2分子基态势函数的解析表达式,其势能面准确地复现了PdPb2分子的两个稳定构型(C2VCv)及其能量关系. 关键词: 2')" href="#">Pb2 2')" href="#">PdPb2 势能函数  相似文献   

8.
Effects of the translational energy of incident oxygen molecules on surface processes from dissociative adsorption to subsequent associative desorption on Pt(1 1 1) have been investigated by means of supersonic molecular beam scattering. The reaction rate of associative desorption increases with the incident translational energy. The enhanced associative desorption can be attributed to nonthermal diffusion of dissociated oxygen, promoted by the translational energy of incoming molecules.  相似文献   

9.
Jeng-Han Wang 《Surface science》2006,600(5):1113-1124
We have studied the adsorption and decomposition of HN3 on Si(1 0 0)-2 × 1 surface using the hybrid density functional B3LYP method and effective core potential basis, LanL2DZ, with Si15H16 as a double dimer surface model for cluster calculations. The result shows that the barriers for the dissociative adsorption of HN3 forming HN(a) + N2(g) are quite low by stepwise dissociation processes occurring either on a dimer or across the dimers. The low activation energies are consistent with previous experimental observations that the molecularly adsorbed HN3(a) can undergo decomposition producing HN(a) at low surface temperatures. On the other hand, the predicted activation energies for the N3(a) + H(a) formation processes are all relatively higher. These results also explain the absence of the N3(a) species in HREELS measurements following each annealing experiment. Several selected reaction paths were also confirmed with slab model calculations using an optimization approach coupling the energy and gradient calculations by the slab model with the geometrical optimization using Berny algorithm.In addition, the adsorbate effect was examined for the end-on and side-on molecular configurations. For the side-on adsorption configuration, all possible combinations with 1-4 adsorbates can exist on the four surface Si sites of the double dimers, with adsorption energies lying closely to the multiples of that of a single side-on adsorbate (LM2); i.e., adsorption energies are nearly additive. Interestingly, for the end-on adsorption, only 1 and 2 HN3 molecules can adsorb on a dimer due to the presence of the negative charges on the remaining Si sites in the neighboring dimer. For the two end-on adsorbates on the same dimer, the total adsorption energy is close to two times that of HN3(a) or LM1. For the mixed end-on/side-on configurations, only one of each type can co-exist on a single dimer pair (Si1-Si2 or Si3-Si4) sites with adsorption energy close to the sum of those of one end-on and one side-on adsorbate. Finally, the predicted reaction routes and vibrational frequencies showed good agreement with previous experimental results. The stabilities of many ad-species involved in these reactions with end-on and/or side-on configurations have been predicted together with the transition states connecting those species.  相似文献   

10.
The ratios of relative yields of neutral sputtered Cu2 molecules to neutral sputtered Cu atoms were found to be linearly proportional to the sputtering yield of Cu, from a Cu target under bombardment by Ar+ ions (energy 50–90 eV), as determined by secondary neutral mass spectrometry.  相似文献   

11.
We have established a model for the water forming reaction on Pd in the temperature range 350–475 K. Importantly, the model takes into account the possibility that hydrogen may absorb and adsorb at interface sites on supported Pd catalysts. It is shown that already at modest conditions interface adsorption may significantly affect reaction rates. The model may also be used to quantify the response of a hydrogen sensitive Pd-MOS device during hydrogen sensing in oxygen. In the case of Pd supported on SiO2, the concentration of interface sites is so low that interface hydrogen adsorption will have only a minor influence on a catalytic reaction. The fact that a Pd-MOS device may be used as a very sensitive hydrogen detector at atmospheric oxygen conditions, despite a steric oxygen blocking of hydrogen dissociation sites, is predicted by the model.  相似文献   

12.
Total quenching rate coefficients of three singlet and three triplet states of molecular nitrogen in the collisions with O2 molecules are calculated on the basis of quantum-chemical approximations. The calculated rate coefficients of electronic quenching of N2? molecules are compared with the available experimental data. An influence of collisional processes on vibrational populations of electronically excited N2(a1Πg) and N2(A3Σu+) molecules is studied for conditions of laboratory discharge in N2 and O2 at admixtures of molecular oxygen 0%-20% for the pressures 1-1000 Pa. It is indicated that molecular collisions cause changes in relative populations of vibrational levels of these states and intensity relations of ultraviolet bands of N2 with rise in the pressure and the O2 admixture.  相似文献   

13.
We have investigated the recombinative desorption of H2 from Ag(111) using (2 + 1) REMPI to detect the desorbing molecules. We describe a method for determining the energy released into translational motion normal to the surface over a wide range of surface temperatures. This was achieved by using a weak electric field to disperse the ions, in contrast to the usual field-free method. Calibration and analysis methods are discussed and energy distributions P(E) are reported for H2 (v=0). Desorption from a 295 K surface shows only a single low-energy peak ( ), whereas the translational energy release is bimodal for desorption from a 580 K surface, with mean desorption energies of approximately 140 meV and 1 eV for the two pathways. Sticking functions are calculated using detailed balance, revealing a large dependence on surface temperature.  相似文献   

14.
L. Bouzidi  A.J. Slavin   《Surface science》2005,580(1-3):195-206
Ultrathin oxide films grown in vacuum are important in many industrial areas, including microelectronics and heterogeneous catalysis. In this paper, the dependence of oxide stoichiometry, growth kinetics, thickness and stability on O2 pressure and annealing temperature are explored using a high-stability quartz-crystal microbalance and Auger spectroscopy, for the oxidation of lead on gold as a model system. The oxide thickness increases abruptly at specific values of the O2 pressure, as explained previously using Gibbs free energies. A qualitative difference is found between lead-oxide films which are 1 monolayer thick and those which are 2 or more monolayers thick; the former apparently involve exclusively chemisorbed oxygen and can be oxidized and reduced reversibly using thermal oxidation/annealing cycles, whereas the latter involve an extended lead oxide, are more thermally stable, and have a smaller electron inelastic mean free path. Accurate values of the O2 sticking probability are obtained.  相似文献   

15.
BH2和AlH2分子的结构及其解析势能函数   总被引:1,自引:0,他引:1       下载免费PDF全文
运用二次组态相关(QCISD)方法,分别选用6-311++G(3df,3pd)和D95(3df,3pd)基组,对BH2和AlH2分子的结构进行了优化计算,得到BH2分子的稳态结构为C2v构型,电子态为2A1、平衡核间距RBH=0.1187nm、键角∠HBH=128.791°、离解能De=3.65eV、基态振动频率ν1(a1)=1020.103cm-12(a1)=2598.144cm-13(b2)=2759.304cm-1.AlH2分子的稳态结构也为C2v构型,电子态为2A1、平衡核间距RAlH=0.1592nm、键角∠HAlH=118.095°、离解能De=2.27eV、基态振动频率ν1(a1)=780.81cm-12(a1)=1880.81cm-1,ν3(b2)=1910.46cm-1.采用多体项展式理论推导了基态BH2和AlH2分子的解析势能函数,其等值势能图准确再现了BH2和AlH2分子的结构特征及其势阱深度与位置.分析讨论势能面的静态特征时得到BH+H→BH2反应中存在鞍点,活化能为150.204kJ/mol;AlH+H→AlH2反应中也存在鞍点,活化能为54.8064kJ/mol. 关键词: 2')" href="#">BH2 2')" href="#">AlH2 Murrell-Sorbie函数 多体项展式理论 解析势能函数  相似文献   

16.
Complex-forming reactions widely exist in gas-phase chemical reactions.Various complexforming bimolecular reactions have been investigated and interesting phenomena have been discovered.The complex-forming reactions usually have small or no barrier in the entrance channel,which leads to obvious differences in kinetic and dynamic characteristics compared with direct reactions.Theoretically,quantum state-resolved reaction dynamics can provide the most detailed microscopic dynamic mechanisms and is now feasible for a direct reaction with only one potential barrier.However,it is of great challenge to construct accurate potential energy surfaces and perform accurate quantum dynamics calculations for a complex polyatomic reaction involving deep potential wells and multi-channels.This paper reviews the most recent progress in two prototypical oxyhydrogen complex-forming reaction systems,HO2 and HO3,which are significant in combustion,atmospheric,and interstellar chemistry.We will present a brief survey of both computational and experimental work and emphasize on some unsolved problems existing in these systems.  相似文献   

17.
Darin Leonhardt  Sang M. Han   《Surface science》2009,603(16):2624-2629
We have measured the time evolution of Ge nucleation density on SiO2 over a temperature range of 673–973 K and deposition rates from 5.1 × 1013 atoms/cm2 s (5 ML/min) to 6.9 × 1014 atoms/cm2 s (65 ML/min) during molecular beam epitaxy. The governing equations from mean-field theory that describe surface energetics and saturation nucleation density are used to determine the size and binding energy of the critical Ge nucleus and the activation energy for Ge surface diffusion on SiO2. The critical nucleus size is found to be a single Ge atom over substrate temperatures from 673 to 773 K, whereas a three-atom nucleus is found to be the critical size over substrate temperatures from 773 to 973 K. We have previously reported 0.44 ± 0.03 eV for the Ge desorption activation energy from SiO2. This value, in conjunction with the saturation nucleation density as a function of substrate temperature, is used to determine that the activation energy for surface diffusion is 0.24 ± 0.05 eV, and the binding energy of the three-atom nucleus is 3.7 ± 0.1 eV. The values of the activation energy for desorption and surface diffusion are in good agreement with previous experiments of metals and semiconductors on insulating substrates. The small desorption and surface diffusion activation barriers predict that selective growth occurring on window-patterned samples is by direct impingement of Ge onto Si and ready desorption of Ge from SiO2. This prediction is confirmed by the small integral condensation coefficient for Ge on SiO2 and two key observations of nucleation behavior on the window-patterned samples. The first observation is the lack of nucleation exclusion zones around the windows, and second is the independence of the random Ge nucleation density on patterned versus unpatterned oxide surfaces. We also present the Ge nucleation density as a function of substrate temperature and deposition rate to demarcate selective growth conditions for Ge on Si with a window-patterned SiO2 mask.  相似文献   

18.
The conductivity of As2Se3 single crystals has been measured, both 6 b and ⊥ b, in the temperature range 300–400°K. Thermal activation energies of 0.94 and 1.1 eV were obtained for H2 and I2-grown crystals, respectively.  相似文献   

19.
The laser treatment of two-layer Si-Al2O3 target at λ=1064 nm and P=170 W in a N2+O2 atmosphere by electron microscopy, atomic force microscopy, X-ray microanalysis, and IR-spectroscopy was investigated. It is established that in the stage of fracture of a silicon plate, the ablation products were silicon clusters into which, when passing over, oxygen and nitrogen diffused. On collective plate, a SiOxN composite film formed. In the stage of fracture of an alumina plate, the oxidation of silicon in the channel zone and the interaction of Al and Si oxides, accompanied by their nitration, occurred. In this stage, evolved oxide vapors and ejected mullite drops deposit on the SiOxN film. On collective plate, mullite nanowhiskers grew from mullite drops by the vapor-liquid-solid body mechanism.  相似文献   

20.
谌晓洪  蒋燕  刘议蓉  王玲  杜泉  王红艳 《物理学报》2012,61(1):13101-013101
用Gaussian09程序包的密度泛函理论DFT方法,在BP86/6-311++g(d,p)水平上对O2, TiO和TiO2 分子进行了优化.得到该系列分子的基态电子态分别为:O2(X3Σg), TiO(X3Πg), TiO2(X1 A1), TiO2分子的稳定构型为C2v构型. 用Murrell-Sorbie势能函数对TiO和O2分子的扫描势能点进行拟合, 其扫描点都与四参数Murrell-Sorbie函数拟合曲线符合得很好,在此基础上推导出它们的光谱数据和力常数. 用多体项展开理论导出TiO2分子的全空间解析势能函数,在固定键角∠OTiO=110.5° 的情况下, RTi-O = 0.1652 nm处存在一个深度为15.09 eV的势阱, 表明在该处易形成稳定的TiO2分子. 关键词: TiO 2和TiO2')" href="#">O2和TiO2 密度泛函理论 势能函数  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号