首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
This work proposes an extended model that describes the propagation of damage in porous low-k material exposed to a plasma. Recent work has indicated that recombination and diffusion play a more dominant role than VUV light [1], [2], [3], [4] and [5] in oxygen plasma induced damage. Especially at low depths, the radical concentration is determined by the number of radicals that disappear back into the plasma while the final depth of damage is defined by recombination of oxygen atoms. A logarithmic equation has been proposed to describe the behavior as a function of time. In this work this equation is extended to take diffusion into account, next to recombination. The results are in agreement with experimental data and one-dimensional random walk theory calculations.  相似文献   

2.
We review test vehicles and methods that are commonly used for capacitance measurements of low-k films and the general procedure for k-value extractions. We demonstrate that a considerable loss of accuracy may occur if metal-insulator-semiconductor (MIS) planar capacitors are used in high frequency (HF) capacitance-voltage (CV) measurements leading to significant underestimation of the k-value. We show that the lack of accuracy is due to parasitic impedance at the backside connection with the Si substrate and we provide a model. The effect of the parasitic impedance can be minimized by reducing the area of the gate electrode. Alternatively, samples can be provided with an ohmic back contact by means of one of the practical fabrication methods that are described. Quasi-static (Q-S) CV measurements did not exhibit any variation related to backside connection. However, we show that Q-S CV measurements loose accuracy for plasma-damaged low-k films because of increased dielectric leakage. Finally, issues related to capacitance measurements in dry atmosphere are addressed. We show that long (∼hours) transients can take place for plasma-damaged low-k films because of the slow release of water from the material underneath the metal gate, which acts as a cap. As a consequence, extracted k-value can significantly depend on sample resident time in the measurement chamber and on gate dimensions.  相似文献   

3.
The etching damage on nano-clustering silica (NCS) film due to etching radicals was investigated using a method of radical treatments in RIE plasma. NCS coated-side of the wafer was turned downward and put at 0.65 mm above the wafer stage to investigate only the influence of radicals. Etching radicals, which comes from CF4, diffuse into NCS film and reduce Si-CH3 bonds and Si-CH3 loss is proportional to the amount of diffused fluorine in NCS film. Several Si-CH3 bonds are converted to Si-F bonds then. As a result, the low-k performance is degraded and especially the leakage current heavily increases. We proposed a method for estimating the degree of the sidewall damage due to etching radicals using blanket wafers. The degree of sidewall damage is proportional to the value of CR−0.5, where C is the damage diffusion coefficient, which is derived from Si-CH3 decrement ratio from a radical treatment result and R is the etching rate, which is derived from a RIE treatment result under the same plasma condition. The value of CR−0.5 depends on the etching condition and must be decreased as much as possible in order to reduce the sidewall damage during RIE. For example, lower gas pressure, higher RF power, and higher CF4/Ar gas flow ratio were desirable for the sidewall damage reduction.  相似文献   

4.
Surface hydrophilisation and effective k-value degradation have been reported in literature after direct-CMP of high porosity SiOC films (without a protective capping layer). In the sequel, attempts to restore ultra low-k (ULK) material initial properties after a standard CMP and post-CMP cleaning process are reported. Annealing treatment has shown to be valuable to remove residual organics and water absorbed at the ultra low-k material surface after direct-CMP. However, as the hydrophilicity of the polished surface remains unchanged, it does not prevent moisture uptake, leading to an increase in k-value with time. Therefore, in order to restore hydrophobic properties and to stabilize the surface in time, three silylating agents - containing chlorosilane reactive groups (-SiMenCl3−n) as well as hydrophobic methyl functions (-CH3) in their structure - have been employed in liquid, gas or dense CO2 phases on the CMP-induced damaged ULK layers. While each of these organic treatments is efficient to restore hydrophobicity on post-CMP ULK surfaces, only one of them proved to be able to keep the k-value low (comparable to the ULK pristine k-value) and stable in time, without inducing significant change in porosity of the ULK material.  相似文献   

5.
In this work H2 plasma curing is studied to appreciate hydrogen species impact on porogen removal efficiency and transformation occurring within the porous SiOCH structure. The investigation is done by comparing H2 plasma with other curing treatment (UV curing). H2 plasma curing shows a benefit in term of porogen removal and can be considered as a fast process as only few minutes are required to decompose the C-H bonds and create the porosity. However, longer treatment reveals carbon depletion by Si-CH3 decrease and porosity collapse through the shrinkage enhancement. Plasma treatments lead also to the creation of SiH bonds which could serve as a hydrogen radical generator when the film is electrically stressed. The leakage current and breakdown voltage values are then affected by these hydrogen radicals, which can contribute in the electrical conduction. The H2 process allows obtaining films with a dielectric constant below 2.4. This process shows encouraging results comparing with the k = 2.35 obtained with UV curing technology.  相似文献   

6.
We propose a method for evaluating the hydrophilisation degree of low-k films upon plasma damage. The evaluation is based on optical emission spectroscopy analysis of O emission during He plasma exposure of sample in question. The O is presumably desorbed from damaged low-k film by vacuum-ultraviolet radiation from He plasma. The new method correlates well with other methods for plasma damage characterization such as Fourier Transform Infrared Spectroscopy and Water-Vapor Ellipsometric Porosimetry. The presented method gives a unique opportunity to assess the degree of hydrophilisation of low-k films immediately after processing.  相似文献   

7.
In the back end of line (BEOL) interconnections for 65 nm and beyond technology nodes, the integration of porous dielectric materials is now needed to improve signal propagation. In order to develop and optimize etching and cleaning process steps that may degrade the dielectric material, the characterization of ultra-low k porosity becomes mandatory. In this paper, the impact of wet cleaning with diluted HF solution was characterized depending on several plasma treatments. In particular, we focused on pore sealing effects after plasma and its persistency after wet treatments. It was demonstrated that methyl silsesquioxane (MSQ) thin film dissolution in diluted HF is not linear with process time, meaning that the material is not homogeneous. Depending on the plasma treatment, the thin layer created on the top surface is porous and does not protect the material from chemical dissolution. On contrary, some plasma treatment creates a thin layer with a very low permeability (sealed porosity) that acts as a protective coating against dissolution in diluted HF. Moreover, its porosity remains sealed, and pore sealing effect is not impacted by this cleaning process.  相似文献   

8.
Aurora®ELK films were fabricated by PE-CVD of a SiCOH matrix precursor and an organic porogen material. The porogen material is removed during a subsequent thermally assisted UV-cure step with a short wavelength UV-lamp (λ < 200 nm). This results in film thickness shrinkage of 13.2% and a robust low-k film with k-value ∼ 2.3, elastic modulus ∼5.0 GPa and intrinsic film stress ∼59 MPa. The microscopic film properties during UV-cure were evaluated by FT-IR. A decrease in the CHx peak area is related to the porogen removal from the film resulting in a reduced dielectric constant. The decrease of the Si-CH3 peak and increase in the SiO network area are associated to the network restructuring and increase in elastic modulus. The nature of the Si-H peaks which appear during UV-cure has to be investigated carefully to determine their impact on film reliability. The dielectric diffusion barrier can work as an UV absorption layer which reduces UV-curing of underlying layers and possible UV reflections on interfaces. The SiCN/A-SiCO diffusion barrier film properties during UV-cure show a decrease in k-value, increase in intrinsic film stress and a slight increase in leakage. More research is needed to evaluate the impact of porogen removal by UV-cure on BEOL integration.  相似文献   

9.
The use of low-k materials is essential for improving the quality of integrated circuits. Subsequent process steps may however modify this film to the extent that the final result is unacceptable. Organosilicate-based low-k films, with a nominal k-value of 2.3, were exposed to different post-CMP cleaning plasmas used for copper reduction. The resulting plasma damage was investigated and is reported in this paper. All the studied plasmas increased the density of the low-k film. TOFSIMS and FTIR analyses showed that they all removed CH3 groups from the bulk, leading to water incorporation. The carbon depletion was more pronounced and deeper (100 nm) from a NH3 plasma than from any other investigated plasma. N2 + H2 plasma removed somewhat less carbon from the low-k film (83 nm deep). The N2 plasma removed carbon down to a depth of 60 nm into the film, while a pure H2 plasma removed the least carbon of all the investigated plasmas, to a depth of only 35 nm. The combination of TOFSIMS and XPS indicated the incorporation of a significant amount of N in the films treated with the pure N2 plasma. C-V measurements showed an increase of the dielectric constant, again mostly for the NH3 plasmas. There was an intermediate and approximately equal increase of the dielectric constant for all N2 containing plasmas, and the least increase was for the H2 plasma. This increase of the dielectric constant was caused by the increase of density of the film, incorporation of water, and in the case of the N2 plasma also the incorporation of N. This shows that the presence of N2 in plasma may significantly damage low-k materials, and it should not therefore be treated as a mere carrier gas.  相似文献   

10.
A unique test structure based on a metal-insulator-semiconductor planar capacitor (Pcap) design was used to investigate several aspects of metal barrier-induced low-k damage. A special term called Effective Damage Thickness was introduced to describe the degree of damage. Ta(N) barrier was deposited on various dielectric films with porosity up to 32%. It has been found that the Effective Damage Thickness increases as the porosity increases. The damage is influenced more by the porosity of low-k films than the film density. Furthermore, the damage was modulated by Ta(N) deposition conditions. More damage was observed when higher target and/or substrate bias power was used, suggesting that the ion energy of the barrier material plays an important role in the low-k damage mechanism. A same degree of damage was observed for Ta barrier as for Ta(N), suggesting that Ta(N) deposition-induced low-k damage was primarily caused by Ta ions not nitrogen. Impact of Ru(Ta) and Cu(Mn) self forming barrier on low-k damage was also investigated. Among all the barriers studied in this work, the Ta-based barriers caused the most damage while the Cu(Mn) self forming barrier had the least damage to the low-k. The atomic masses for Ta, Ru, and Cu are 181, 101, and 64, respectively, corresponding with the observed degree of damage in the low-k material.  相似文献   

11.
In this study, we have prepared surfactant templated mesoporous silica thin films as the ultralow-k dielectrics and a TaNX thin film deposited by plasma enhanced atomic layer chemical vapor deposition (PE-ALCVD) using TaCl5 as the gas precursor was used as the diffusion barrier. Without any surface modification for the dielectric layer, Ta atoms could easily diffuse into the mesoporous layer seriously degrading dielectric properties. O2 and Ar plasmas have been used to modify the surface of the mesoporous dielectric in a high density plasma chemical vapor deposition (HDP-CVD) system, and both of the treatments produced a densified oxide layer a few nanometer thick. According to transmission electron microscopy and Auger electron spectroscopy, the pore sealing treatment could effectively prevent Ta atoms from diffusing into the mesoporous dielectric during the PE-ALCVD process.  相似文献   

12.
Implementation of CoWP metal caps into Cu/low-k integration schemes requires a wet stripper that not only gives efficient cleaning but also has good compatibility to CoWP and low-k dielectrics. This paper describes a novel non-fluoride CoWP compatible stripper, developed based on a systematic study of the effect of stripper components, i.e. solvent, corrosion inhibitor, and stripper pH. Electrochemical methods were used to characterize galvanic corrosion of the CoWP/Cu couple and to estimate CoWP etch rate. Our studies showed that a traditional fluoride stripper caused severe damage to CoWP capping layer. The new stripper achieved a good balance between cleaning efficiency and compatibility to CoWP and low-k dielectrics, and demonstrated significant advantages in electrical properties over the traditional fluoride stripper.  相似文献   

13.
In this paper, we evaluate the potentiality of hafnium aluminium oxide (HfAlO) high-k materials for control dielectric application in non-volatile memories. We analyze the electrical properties (conduction and parasitic trapping) of HfAlO single layers and SiO2/HfAlO/SiO2 triple layer stacks as a function of the HfAlO thickness and Hf:Al ratio. A particular attention is given to the electrical behaviour of the samples at high temperature, up to 250 °C. Experimental results obtained on silicon nanocrystal memories demonstrate the high advantage of HfAlO based control dielectrics on the memory performances for Fowler-Nordheim operation. Then an analytical model is presented, to simulate the program erase characteristics in the transient regime and at saturation, depending on the high-k control dielectric properties. A very good agreement is obtained between the experimental data and the simulation results.  相似文献   

14.
Interfacial crack/delamination, due to the presence of dissimilar material systems, is one of the major concerns of thermo-mechanical reliability for the development of next node technology in integrated circuits (IC) devices. The cracking energy results from many back end of line (BEOL) and packaging processes at various temperature differences is prone to drive the crack advance. To investigate the sensitivity of crack propagation in low-k dielectric materials, a robust estimation of J-integral approach combined with a rectangular path of integral contour is performed using finite element analysis (FEA). By means of the verification of 4-point bending test (4-PBT), excellent agreements are obtained as compared with the experimental data. Moreover, a multiscale modeling technique is proposed to resolve the difficulty of model construction as from bridge device level to packaging level. The sub-modeling procedures developed specifically for the impact prediction of interfacial crack in complicated Cu/low-k interconnects. The analytic results indicate the foregoing methodology is valuable to forecast the physical behavior and reliability of advanced IC devices in the nano scaled size. On the basis of the presented results in this research, an approximated criterion for determining the dimensions of sub-model is suggested and demonstrated as well.  相似文献   

15.
This work investigates the etching characteristics of SiCOH low dielectric constant (low-k) films in the CHF3 13.56 MHz/2 MHz dual-frequency capacitively couple plasma (CCP). The effect of low-frequency (LF) power on etching behavior is analyzed. The results show that the increase of LF power can leads to a transition of etching behavior from films deposition to etching. By Fourier transform infrared (FTIR) spectroscopy and X-ray photoelectron spectroscopy (XPS) analysis on the etched SiCOH films and optical emission spectroscopy (OES) analysis on the plasma radicals, the transition behavior is found to relate to the suppression of C:F deposition due to the energetic ions sputtering and the increase of F concentration at higher LF power.  相似文献   

16.
Hafnium oxide (HfO2) films were deposited on Si substrates with a pre-grown oxide layer using hafnium chloride (HfCl4) source by surface sol-gel process, then ultrathin (HfO2)x(SiO2)1−x films were fabricated due to the reaction of SiO2 layer with HfO2 under the appropriate reaction-anneal treatment. The observation of high-resolution transmission electron microscopy indicates that the ultrathin films show amorphous nature. X-ray photoelectron spectroscopy analyses reveal that surface sol-gel derived ultrathin films are Hf-Si-O alloy instead of HfO2 and pre-grown SiO2 layer, and the composition was Hf0.52Si0.48O2 under 500 °C reaction-anneal. The lowest equivalent oxide thickness (EOT) value of 0.9 nm of film annealed at 500 °C has been obtained with small flatband voltage of −0.31 V. The experimental results indicate that a simple and feasible solution route to fabricate (HfO2)x(SiO2)1−x composite films has been developed by means of combination of surface sol-gel and reaction-anneal treatment.  相似文献   

17.
A 32 nm node BEOL integration scheme is presented with 100 nm metal pitch at local and intermediate levels and 50 nm via size through a M1-Via1-M2 via chain demonstrator. To meet the 32 nm RC performance specifications, extreme low-k (ELK) porous SiOCH k = 2.3 is introduced at line and via level using a Trench First Hard Mask dual damascene architecture. Parametrical results show functional via chains and good line resistance. Integration validation of ELK porous SiOCH k = 2.3 is investigated using a multi-level metallization test vehicle in a 45 nm mature generation.  相似文献   

18.
The effect of thick film Ni(1−x)CoxMn2O4 in-touch overlay on the X band resonance characteristics of thick film microstrip ring resonator is studied. The thick film overlay decreases the resonance frequency and increases the peak output. From the frequency shift the dielectric constant of the thick film Ni(1−x)CoxMn2O4 has been calculated. For the first time Ag thick film microstrip ring resonator has been used to study thick film Ni(1−x)CoxMn2O4 in the X band.  相似文献   

19.
This article describes less explored solutions to improve interconnect performance without changing established steps (etch, strip, clean, CMP) in a sub-100 nm integration route. Process conditions of the porogen-based low-k are adjusted by (1) varying the curing time (2) adding a thermal anneal step prior to CuO reduction or (3) depositing a capping layer on top of the low-k after curing. The low-k material examined in this study is Aurora® ELK HM (k ∼ 2.5).The integration process was robust against these variations, showing good electrical yield for all process splits. RC-product was improved when using a shorter curing time and when an anneal step prior to CuO reduction was performed. The use of a thicker capping layer decreased capacitance, showing an improved protection against damage.  相似文献   

20.
The modification of a SiOCH based low-k by oxygen plasma in a transformer coupled plasma (TCP) is reported. Modification of the film is studied as function of TCP power and time. Spectroscopic ellipsometry (SE) and Fourier transformed infrared absorption spectroscopy (FTIR) measurements are used for characterization. Both techniques show that the modification (damage) depth increases with increasing TCP power. Optical emission spectroscopy (OES) indicates that adding TCP power increases the O/O2+ ratio in the plasma. By means of FTIR and OES, evidence is found for the removal of hydrogen and carbon from the low-k during plasma exposure. Using a two-layer SE fitting model, and no TCP power a refractive index (RI) of 1.44 for the chemically altered top layer was found. This RI decreases with TCP power. Presumably, at increased TCP power, relatively more radicals are generated and they penetrate more easily because of a less dense top layer.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号