首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
The β-SiC nanocrystals were synthesized by the implantation of carbon ions (C) into silicon followed by high-temperature annealing. The carbon fluences of 1×1017, 2×1017, 5×1017, and 8×1017 atoms/cm2 were implanted at an ion energy of 65 keV. It was observed that the average size of β-SiC crystals decreased and the amount of β-SiC crystals increased with the increase in the implanted fluences when the samples were annealed at 1100 °C for 1 h. However, it was observed that the amount of β-SiC linearly increased with the implanted fluences up to 5×1017 atoms/cm2. Above this fluence the amount of β-SiC appears to saturate. The Fourier Transform Infrared Spectroscopy (FTIR), Raman Spectroscopy, and X-ray diffraction (XRD) techniques were used to characterize the samples.  相似文献   

2.
Thin transparent (for transmission electron microscopy, TEM) self-supported Si(001) films are irradiated on the (110) end face by low-energy (E=17 keV) He+ ions at doses ranging from 5×1016 to 4.5×1017 cm−2 at room temperature. The TEM study of the irradiated Si films along the ion range shows that an a-Si layer forms in the most heavily damaged region and helium pores (bubbles) with a density of up to 3×1017 cm−3 and 2–5 nm in diameter nucleate and grow across the entire width of this layer. The growth of nanopores in the a-Si layer is accompanied by their linear ordering into chains oriented along the ion tracks. The absence of pores in the region that remains crystalline and has the maximal concentration of implanted helium is explained by the desorption of helium atoms from the thin film during the irradiation. After annealing at 600°C, the volume of immobile pores in the remaining a-Si layer increases owing to the capture of helium atoms from the amorphous matrix. Solid solution is shown to be the prevalent state of the helium implanted into the amorphous silicon. Linear features with a diameter close to 1 nm and density of about 107 cm−1 discovered in the helium-doped a-Si layer are identified as low-energy He+ ion tracks.  相似文献   

3.
Implantation of any ions at a sufficiently high dose and energy (E) into single-crystalline Si leads to the creation of amorphous Si (aSi), with damages peaking near the projected range (R p) of implanted species. Enhanced hydrostatic pressure (HP) at a high temperature (HT) influences the recrystallization of aSi. The structure of self-implanted Czochralski silicon (Si+ dose, D=2×1016 cm?2, E=150 keV, R p=0.22 μm) processed for 5 h at 1400 or 1520 K under HPs up to 1.45 GPa was investigated by X-ray, secondary ion mass spectrometry and photoluminescence methods. The implantation of Si produces vacancies (V) and self-interstitials (Sii). Vacancies and Siis form complex defects at HT–HP, also with contaminants (e.g. oxygen, always present in Czochralski silicon). The mobility and recombination of V and Sii as well as the kinetics of recrystallization are affected by HP, thus processing at HT–HP affects the recovery of aSi.  相似文献   

4.
This Letter demonstrates improved passivating contacts for silicon solar cells consisting of doped silicon films together with tunnelling dielectric layers. An improvement is demonstrated by replacing the commonly used silicon oxide interfacial layer with a silicon nitride/silicon oxide double interfacial layer. The paper describes the optimization of such contacts, including doping of a PECVD intrinsic a‐Si:H film by means of a thermal POCl3 diffusion process and an exploration of the effect of the refractive index of the SiNx. The n+ silicon passivating contact with SiNx /SiOx double layer achieves a better result than a single SiNx or SiOx layer, giving a recombination current parameter of ~7 fA/cm2 and a contact resistivity of ~0.005 Ω cm2, respectively. These self‐passivating electron‐selective contacts open the way to high efficiency silicon solar cells. (© 2015 WILEY‐VCH Verlag GmbH &Co. KGaA, Weinheim)  相似文献   

5.
Radiation-induced athermal hydrogen removal from single-crystal silicon subjected to irradiation by high-energy heavy Bi+ (E = 710 MeV), Kr+ (E = 85 and 250 MeV), and Xe+ (130 MeV) ions is detected experimentally. The decrease in the hydrogen concentration depends on the specific ionization energy losses of high-energy heavy ions. At high specific ionization losses of Bi+ ions with E = 710 MeV (22.5 keV/nm), the hydrogen concentration decreases to a level at which blisters cannot be observed in an optical or electron microscope (which is likely to be 1 at % hydrogen at the peak of the calculated hydrogen concentration profile). At medium specific ionization losses of Xe+ ions with E = 130 MeV (12.5 keV/nm) and Kr+ ions with E = 250 and 85 MeV (9.5 and 8.5 keV/nm, respectively), the hydrogen concentration decreases to a level that does not affect blister formation but determines the blister failure (flaking) conditions.  相似文献   

6.
本文中研究了O+(200keV,1.8×1018/cm2)和N+(190keV,1.8×1018/cm2)注入Si形成SOI(Silicon on Insulator)结构的界面及埋层的化学组成。俄歇能谱的测量和研究结果表明:注O+的SOI结构在经1300℃,5h退火后,其表层Si和氧化硅埋层的界面存在一个不饱和氧化硅状态,氧化硅埋层是由SiO2相和这不饱和氧化硅态组成,而且氧化硅埋层和体硅界面不同于表层Si和氧化硅埋层界面;注N+的SOI结构在经1200℃,2h退火后,其氮化硅埋层中存在一个富N的疏松夹层,表层Si和氮化硅埋层界面与氮化硅埋层和体硅界面性质亦不同。这些结果与红外吸收和透射电子显微镜及离子背散射谱的分析结果相一致。还对两种SOI结构界面与埋层的不同特征的原因进行了分析讨论。 关键词:  相似文献   

7.
Luminescent and structural characteristics of SiO2 layers exposed to double implantation by Si+ and C+ ions in order to synthesize nanosized silicon carbide inclusions have been investigated by the photoluminescence, electron spin resonance, transmission electron microscopy, and electron spectroscopy methods. It is shown that the irradiation of SiO2 layers containing preliminary synthesized silicon nanocrystals by carbon ions is accompanied by quenching the nanocrystal-related photoluminescence at 700–750 nm and by the enhancement of light emission from oxygen-deficient centers in oxide in the range of 350–700 nm. Subsequent annealing at 1000 or 1100°C results in the healing of defects and, correspondingly, in the weakening of the related photoluminescence peaks and also recovers in part the photoluminescence of silicon nanocrystals if the carbon dose is less than the silicon dose and results in the intensive white luminescence if the carbon and silicon doses are equal. This luminescence is characterized by three bands at ~400, ~500, and ~625 nm, which are related to the SiC, C, and Si phase inclusions, respectively. The presence of these phases has been confirmed by electron spectroscopy, the carbon precipitates have the sp 3 bond hybridization. The nanosized amorphous inclusions in the Si+ + C+ implanted and annealed SiO2 layer have been revealed by high-resolution transmission electron microscopy.  相似文献   

8.
The method of ultrahigh-vacuum low-temperature (T = 850°C) purification of silicon single crystals having the (100) and (111) orientation and implanted with low-energy (E = 40 keV) iron ions with various doses (Φ = 1015?1.8×1017 cm?2) and subjected to pulsed ion treatment (PIT) in a silicon atom flow has been tested successfully. The formation of semiconducting iron disilicide (β-FeSi2) near the surface after PIT is confirmed for a Si(100) sample implanted with the highest dose of iron ions. The possibility of obtaining atomically smooth and reconstructed silicon surfaces is demonstrated. Smooth epitaxial silicon films with a roughness on the order of 1 nm and a thickness of up to 1.7 μm are grown on samples with an implantation dose of up to 1016 cm?2. Optical properties of the samples before and after the growth of silicon layers are studied; the results indicate high quality of the grown layers and the absence of iron disilicide on their surface.  相似文献   

9.
Nitrogen ions were implanted into SiC ceramics by using ion implantation technology (N+-SiC). The surface structure and chemical bonds of N+-SiC ceramics were determined by X-ray diffraction (XRD) and X-ray photoelectron spectroscopy (XPS), and their nanohardness was measured by nanoindenter. The friction and wear properties of the N+-SiC/SiC tribo-pairs were investigated and compared with those of SiC/SiC tribo-pairs in water using ball-on-disk tribo-meters. The wear tracks on the N+-SiC ceramics were observed by non-contact surface profilometer and scanning electron microscope (SEM) and their wear volumes were determined by non-contact surface profilometer. The results show that the N+-SiC ceramics were mainly composed of SiC and SiCN phase and SiN, CC, CN and CN bonds were formed in the implantation layer. The highest hardness of 22.3 GPa was obtained as the N+-SiC ceramics implanted at 50 keV and 1 × 1017 ions/cm2. With an increase in nitrogen ion fluence, the running-in period of N+-SiC/SiC tribo-pairs decreased, and the mean stable friction coefficient decreased from 0.049 to 0.024. The N+-SiC ceramics implanted at 50 keV and 5 × 1017 ions/cm2 exhibited the excellent tribological properties in water. In comparison of SiC/SiC ceramic tribo-pairs, the lower friction coefficient and lower wear rate for the N+-SiC/SiC tribo-pairs were acquired.  相似文献   

10.
Hall effect and sheet resistivity measurements have been performed on boron implantations in 1μm silicon layers on sapphire (SOS), and in bulk silicon. The doses used were 1014, 1015 and 1016 ions/cm2, and implantation energies were 150 and 300 keV. The samples were annealed at temperatures between 300 and 800°C. As a rule the effective number of carriers in SOS was found to be about twice the number of carriers in bulk silicon. However, the mobility is lower in bulk silicon, resulting in a sheet resistivity almost the same in boron implanted SOS and bulk silicon.  相似文献   

11.
A C60+ primary ion source has been coupled to an ion microscope secondary ion mass spectrometry (SIMS) instrument to examine sputtering of silicon with an emphasis on possible application of C60+ depth profiling for high depth resolution SIMS analysis of silicon semiconductor materials. Unexpectedly, C60+ SIMS depth profiling of silicon was found to be complicated by the deposition of an amorphous carbon layer which buries the silicon substrate. Sputtering of the silicon was observed only at the highest accessible beam energies (14.5 keV impact) or by using oxygen backfilling. C60+ SIMS depth profiling of As delta-doped test samples at 14.5 keV demonstrated a substantial (factor of 5) degradation in depth resolution compared to Cs+ SIMS depth profiling. This degradation is thought to result from the formation of an unusual platelet-like grain structure on the SIMS crater bottoms. Other unusual topographical features were also observed on silicon substrates after high primary ion dose C60+ bombardment.  相似文献   

12.
4H-SiC epitaxial layers 26 μm thick with N d ?N a = 1 × 1015 cm?3 grown by the CVD method on 4H-SiC commercial wafers were implanted by Al ions with energy of 100 keV and a dose of 5 × 1016 cm?2. To produce the p +?n junction, a rapid thermal annealing for 15 s at 1700°C was used. The obtained samples were studied by the local cathodoluminescence, X-ray diffractometry, and transmission electron microscopy. It was established that under specified conditions of implantation, the width of a region with a high content of radiation defects exceeded by two orders of magnitude, the depth of the projective range of Al ions and was equal to 40 μm. This result is explained by the combined contribution of the radiation enhanced defect diffusion and long-range action effect. A short-term high-temperature annealing resulted in the recrystallization of the specimen surface layer and enhancement of CVD layer structure.  相似文献   

13.
A simple technique for the study of the spatial distribution of the damage produced by ion implantation of silicon has been developed. The damage depth distribution for 40 keV boron ions in silicon has been studied at irradiation doses from 7 × 1011 to 3.9 × 1014 ions/cm2 and the relative defect peak depth R d/R p = 0.85 determined. An increase of layer conductivity as the surface part of the implanted layer is removed has been revealed. This effect is caused by the presence of radiation defects in the surface region of the layer. The “electrical” cluster diameter is about 28 A and the overlapping cluster dose is close to 1 × 1013 ions/cm2.  相似文献   

14.
Abstact: The elastic scattering cross sections, σ (E,θ), for the systems He+Ta and He+W have been measured at θlab=165° and E lab=76.1 keV to 3.988 MeV using targets with a thickness of a few atomic layers. The results are smaller than the results given by the Rutherford scattering law, σR(E,θ), due to the effects of electron screening and can be described by σ(E,θ)/σR(E,θ)=(1+Ue/E)−1, where U e is an atomic screening potential energy. The deduced average value, U e=28 ± 3 keV, is consistent with the Moliére- and Lenz-Jensen-models as well as electron binding energies. Received: 25 May 1998  相似文献   

15.
Deep-level profiles were measured radially acrossn-type FZ silicon wafers containing A-swirl defects by applying DLTS to an array of Schottky contacts. The trapparameters were obtained very accurately using a computer-fit procedure for the full DLTS peaks. Two acceptor levels atE c −0.49 eV (σ n =6.6×10−16cm2) andE c −0.07 eV (σ n =4.6×10−16cm2) were observed, which varied oppositely to the A-swirl defect density. At short ranges (1–2mm) the trap concentration-profile was smeared out and did not follow the strong fluctuations in the etch pattern. Both levels were measured together with the same concentration. The profiles indicate outdiffusion. A level atE c −0.14 eV (σ n =1.1×10−16cm2) was not related to A-swirl defects. A level atE c −0.11 eV (σ n =1.1×10−15cm2) was only detected in one ingot. The properties of the deep level atE c −0.49 eV are discussed in the light of published DLTS results reported for γ-irradiation, laser annealing after self-implantation, annealing under pressure and oxidation of silicon samples. It is concluded, that this level is related to interstitial silicon rather than to an impurity.  相似文献   

16.
We demonstrate the processing of a heterojunction solar cell from a purely macroporous silicon (MacPSi) absorber that is generated and separated from a monocrystalline n‐type Cz silicon wafer by means of electrochemical etching. The etching procedure results in straight pores with a diameter of (4.7 ± 0.2) µm and a distance of 8.3 µm. An intrinsic amorphous Si (a‐Si)/p+‐type a‐Si/indium tin oxide (ITO) layer stack is on the front side and an intrinsic a‐Si/n+‐type a‐Si/ITO layer stack is on the rear side. The pores are open when depositing the layers onto the 3.92 cm2‐sized cell. The conductive layers do not cause shunting through the pores. A silicon oxide layer passivates the pore walls. The energy‐conversion efficiency of the (33 ± 2) µm thick cell is 7.2%. (© 2012 WILEY‐VCH Verlag GmbH & Co. KGaA, Weinheim)  相似文献   

17.
Implanted muons in samples of silicon carbide have been observed to form paramagnetic muonium centers (μ + e). Muonium precession signals in low applied magnetic fields have been observed at 22 K in a granular sample of cubic β-SiC, however it was not possible to determine the hyperfine frequency. In a single crystal sample of hexagonal 6H-SiC, three apparently isotropic muonium states were observed at 20 K and two at 300 K, all with hyperfine frequencies intermediate between those of the isotropic muonium centers in diamond and silicon. No evidence was seen of an anisotropic muonium state analogous to the Mu* state in diamond and silicon.  相似文献   

18.
Ion implantation of 2 × 1015 31P+/cm2 at 10 keV and furnace annealing at 750° C, 1/2 h, have been used to obtain n+ -p junctions on (100) silicon samples having tetrahedrically textured surfaces. This texture was obtained by an anisotropic etching in a hot hydra-zine-water mixture. Morphological properties of the surface (dimension, homogeneity and characteristic of the tetrahedrons) have been analyzed and electrical properties of the implanted layers (sheet resistivity, carrier concentration profile) have been measured. The electrical characteristics of the textured samples are similar to those obtained using samples with flat polished surface; the tetrahe-dral structures are not damaged by the implantation process and they keep their antireflecting properties unaltered.  相似文献   

19.
Metallic119Sn was vapour deposited on Al and Ni substrates to form layers about 40nm thick and subsequently irradiated with 100keV Xe+ at fluences 1, 5 and 10·1015 Xe+/cm2. Irradiation with 100keV N+ at 5·1016N+/cm2 fluence was also accomplished on an Al sample on which a layer of 100nm119Sn was previously electrodeposited. Surface evolution of the deposited layers due to irradiation has been observed by SEM and loss of Tin due to sputtering has been evidenced by EDX microanalysis. Changes of chemical structure at the irradiated surfaces have been followed by CEMS: β-Sn, SnO, SnAl2O4, SnO2 and SnAl2O5 or β-Sn, dissolved Tin in Nickel, SnO2, Ni3Sn and Ni3Sn2 phases were recognized on the surface of Aluminium and Nickel substrates respectively.  相似文献   

20.
The formation of nanoparticles in СZn-Si(100) implanted with 64Zn+ ions using a dose of 5 × 1016 cm–2 and an energy of 50 keV at room temperature with subsequent thermal processing in oxygen at temperatures ranging from 400 to 900°C is studied. The surface topology is investigated with scanning electron (in the secondary emission mode) and atomic force microscopes. The structure and composition of the near-surface silicon layer are examined using a high-resolution transmission electronic microscope fitted with a device for energy dispersive microanalysis. An amorphized near-surface Si layer up to 130 nm thick forms when zinc is implanted. Amorphous zinc nanoparticles with an average size of 4 nm are observed in this layer. A damaged silicon layer 50 nm thick also forms due to radiation defects. The metallic zinc phase is found in the sample after low-temperature annealing in the range of 400–600°C. When the annealing temperature is raised to 700°C, zinc oxide ZnO phase can form in the near-surface layer. The complex ZnO · Zn2SiO4 phase presumably emerges at temperatures of 800°C or higher, and zinc-containing nanoparticles with lateral sizes of 20–50 nm form on the sample’s surface.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号