首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
We report that the surface chemical properties of muscovite mica [KAl2(Si3Al)O10(OH)2] like important multi-elemental layered substrate can be precisely tailored by ion bombardment. The detailed X-ray photoelectron spectroscopic studies of a freshly cleaved as well as 12-keV Ar+ and N+ ion bombarded muscovite mica surfaces show immense changes of the surface composition due to preferential sputtering of different elements and the chemical reaction of implanted ions with the surface. We observe that the K atoms on the upper layer of mica surface are sputtered most during the N+ or Ar+ ions sputtering, and the negative aluminosilicate layer is exposed. Inactive Ar atoms are trapped, whereas chemically reactive N atoms form silicon nitride (Si3N4) and aluminum nitride (AlN) during implantation. On exposure to air after ion bombardment, the mica surface becomes more active to adsorb C than the virgin surface. The adsorbed C reacts with Si in the aluminosilicate layer and forms silicon carbide (SiC) for both Ar and N bombarded mica surfaces. Besides the surface chemical change, prolonged ion bombardment develops a periodic ripple like regular pattern on the surface.  相似文献   

2.
The interface region of silicon dioxide layers deposited on indium phosphide was investigated by simultaneous secondary ion mass spectroscopy (SIMS) and Auger electron spectroscopy (AES) depth profile measurements. The results of such measurements depend strongly on the ion species used for sputtering. With Ar+ primary ions an enhancement of the P- and In-SIMS signals occurs in the mixing zone at the interface. This effect can be explained by an increase of the ionization yield of In and P in the presence of oxygen from the SiO2. The use of O2 + as sputter ions enlarges the phosphorus peak at the interface while the enhancement of the In-signal diminishes. The simultaneously measured AES spectra give clear evidence of oxygen bonded In and P at the interface. Additionally, preferential sputtering of phosphorus occurs. The understanding of these effects which complicate the interpretation of SIMS and AES depth profile measurements of the system SiO2/InP allows us to investigate the silicon dioxide layers and the interface region in order to optimize the SiO2 deposition process, e.g. for surface passivation or MIS structures.  相似文献   

3.
Secondary ion mass spectrometry (SIMS) depth profiling has been applied to the study of the thermal annealing of ohmic contacts for high electron mobility transistors. The metallic stacks (Ti/Al/Ni/Au) were deposited over the Al0.28Ga0.72N/GaN/sapphire heterostructures and subjected to a rapid thermal annealing (850 °C for 30 s under N2 atmosphere) to improve the contact performance. The surface morphology and the in-depth chemical distribution of the layered contacts were severely modified due to the treatment. These modifications have been analyzed by SIMS depth profiling and scanning electron microscopy–energy-dispersive X-ray microanalysis. The SIMS analysis conditions have been optimized to achieve simultaneously good sensitivity and to avoid ion-induced mixing effects produced by the primary beam sputtering.  相似文献   

4.
Secondary ion mass spectrometry (SIMS) has been used to detect the reactions induced by active ion bombardment (AIB) of N+2 on surfaces of pyrolytic graphite and a (100) Si crystal. The SIMS spectra exhibit ions of CN?, HCN?, HnC2N?(n = 2, 3, 4), HN?, and SiN?, indicating that reactions take place with the graphite and silicon as well as adsorbed hydrogen on the surfaces.  相似文献   

5.
 Secondary ion mass spectrometry (SIMS) was optimised for characterisation of uranium- and plutonium-containing particles in soils, swipes and forensic samples. This was done by analysing in-house produced spherical UO2-particles. Screening techniques as α-autoradiography together with SIMS analysis were employed to detect UO2-particles in a soil sample from Chernobyl. The use of SIMS was exploited for the identification of uranium- and plutonium-containing particles and for the determination of their isotopic composition. The particles collected on swipe samples were transferred to a special adhesive support for the analysis by SIMS. Particles containing highly enriched uranium with diameters up to 10 μm were also detected in a forensic sample. For the measurements of the isotopic ratios a mass resolution of 1000 was used. At this resolution flat-top peaks were obtained which greatly improve the accuracy of the measurement. The isotopic composition of the particles was measured with a typical accuracy and precision of 0.5%. Statistically meaningful results can be obtained, for instance, from a specimen containing as few as 1010 atoms/μm3 of uranium in particles of UO2 weighing a few picograms.  相似文献   

6.
Defects were created on the surface of highly oriented pyrolytic graphite (HOPG) by sputtering with an Ar+ ion beam, then characterized using X‐ray photoelectron spectroscopy (XPS) and time‐of‐flight secondary ion mass spectrometry (ToF‐SIMS) at 500°C. In the XPS C1s spectrum of the sputtered HOPG, a sp3 carbon peak appeared at 285.3 eV, representing surface defects. In addition, 2 sets of peaks, the Cx and CxH ion series (where x = 1, 2, 3...), were identified in the ToF‐SIMS negative ion spectrum. In the positive ion spectrum, a series of CxH2+• ions indicating defects was observed. Annealing of the sputtered samples under Ar was conducted at different temperatures. The XPS and ToF‐SIMS spectra of the sputtered HOPG after 800°C annealing were observed to be similar to the spectra of the fresh HOPG. The sp3 carbon peak had disappeared from the C1s spectrum, and the normalized intensities of the CxH and CxH2+• ions had decreased. These results indicate that defects created by sputtering on the surface of HOPG can be repaired by high‐temperature annealing.  相似文献   

7.
The accuracy of ultrashallow depth profiling was studied by secondary ion mass spectrometry (SIMS) and high‐resolution Rutherford backscattering spectroscopy (HRBS) to obtain reliable depth profiles of ultrathin gate dielectrics and ultrashallow dopant profiles, and to provide important information for the modeling and process control of advanced complimentary metal‐oxide semiconductor (CMOS) design. An ultrathin Si3N4/SiO2 stacked layer (2.5 nm) and ultrashallow arsenic implantation distributions (3 keV, 1 × 1015 cm?2) were used to explore the accuracy of near‐surface depth profiles measured by low‐energy O2+ and Cs+ bombardment (0.25 and 0.5 keV) at oblique incidence. The SIMS depth profiles were compared with those by HRBS. Comparison between HRBS and SIMS nitrogen profiles in the stacked layer suggested that SIMS depth profiling with O2+ at low energy (0.25 keV) and an impact angle of 78° provides accurate profiles. For the As+‐implanted Si, the HRBS depth profiles clearly showed redistribution in the near‐surface region. In contrast, those by the conventional SIMS measurement using Cs+ primary ions at oblique incidence were distorted at depths less than 5 nm. The distortion resulted from a long transient caused by the native oxide. To reduce the transient behavior and to obtain more accurate depth profiles in the near‐surface region, the use of O2+ primary ions was found to be effective, and 0.25 keV O2+ at normal incidence provided a more reliable result than Cs+ in the near‐surface region. Copyright © 2007 John Wiley & Sons, Ltd.  相似文献   

8.
Polyatomic primary ions have been applied recently to the depth profiling of organic materials by secondary ion mass spectrometry (SIMS). Polyatomic primary ions offer low penetration depth and high damage removal rates in some polymers, but the relationship between polymer chemistry and degradation under polyatomic primary ion bombardment has not been studied systematically. In this study, positive and negative ion time‐of‐flight SIMS (ToF‐SIMS) was used to measure the damage of ~100 nm thick spin‐cast poly(methyl methacrylate) (PMMA), poly(methyl acrylate) (PMA) and poly(methacrylic acid) (PMAA), films under extended (~2 × 1014 ions cm?2) 5 keV SF5+ bombardment. These polymers were compared to determine the effect of the main chain and pendant methyl groups on their degradation under SF5+ bombardment. The sputter rate of PMMA was approximately twice that of PMA or PMAA and the rate of damage accumulation was higher for PMA and PMAA than PMMA, suggesting that the main chain and pendant methyl groups played an important role in the degradation of these polymers under SF5+ bombardment. These results are consistent with the literature on the thermal and radiation‐induced degradation of these polymers, which show that removal of the main chain or pendant methyl groups reduces the rate of depolymerization and increases the rate of intra‐ or intermolecular cross‐linking. Copyright © 2004 John Wiley & Sons, Ltd.  相似文献   

9.
Molecular depth profiling of polymers by secondary ion mass spectrometry (SIMS) has focused on the use of polyatomic primary ions due to their low penetration depth and high damage removal rates in some polymers. This study is the third in a series of systematic characterizations of the effect of polymer chemistry on degradation under polyatomic primary ion bombardment. In this study, time‐of‐flight SIMS (ToF‐SIMS) was used to assess 5 keV SF5+‐induced damage of ~90 nm thick spin‐cast poly(2‐hydroxyethyl methacrylate) (PHEMA) and ~130 nm thick trifluoroacetic anhydride‐derivatized PHEMA (TFAA‐PHEMA) films. The degradation of these polymers under extended SF5+ bombardment (~2 × 1014 ions cm?2) was compared to determine the effect of the pendant group chemistry on their degradation. The sputter rate and ion‐induced damage accumulation rate of PHEMA were similar to a poly(n‐alkyl methacrylate) of similar pendant group length, suggesting that the addition of a terminal hydroxyl group to the alkyl pendant group does not markedly change the stability of poly(n‐alkyl methacrylates) under SF5+ bombardment. The sputter rate and ion‐induced damage accumulation rate of TFAA‐PHEMA were much higher than a poly(n‐alkyl methacrylate) of similar pendant group length, suggesting that derivatization of the terminal hydroxyl group can significantly reduce degradation of the polymer under SF5+ bombardment. This result is in good agreement with the literature on the thermal and radiation‐induced degradation of fluorinated poly(alkyl methacrylates), which suggests that the electron‐withdrawing fluorinated pendant group increases the probability of depolymerization. Copyright © 2004 John Wiley & Sons, Ltd.  相似文献   

10.
11.
Summary A transient secondary ion signal enhancement during sputtering through the interface of a two-layer target of Si3N4/GaAs could be demonstrated to be an artefact caused by the bombardment with oxygen ions, which were used as a primary beam. A simple model is established which describes ion-induced composition changes during sputtering (sputter-emission and recoil implantation from the surface, cascade mixing, and implantation of projectiles). The application of this model permits the simulation of internal profiles of a trace element, the matrix atoms and of implanted primary ions, and consequently the simulation of the emitted particle flux during sputtering. These calculations indicate an accumulation of implanted primary ions at the GaAs-side of the interface which considerably enhances the yield of positive secondary ions in this zone. The calculated response fits experimental data within a factor of less than 2.
Quantitative SIMS-Analyse an der Grenzfläche Si3N4/GaAs
Zusammenfassung Bei der Analyse von Verunreinigungen (Chrom) in Si3N4-Schichten auf GaAs mit der Sekundärionen-Massenspektrometrie (SIMS) tritt am Schichtübergang ein transienter Anstieg der Intensität positiver Sekundärionen von Chrom und Arsen auf. Es wird experimentell gezeigt, daß dieser Anstieg nicht auf das Vorhandensein einer parasitären Zwischenschicht aus Chrom oder eines Oxides, sondern auf den Beschuß mit einem Sauerstoff-Primärstrahl zurückzuführen ist. Es wurde ein einfaches Modell erstellt, das die ioneninduzierten Veränderungen der gesputterten Probe beschreibt (Sputter-Emission und Recoil-Implantation aus der Oberfläche, Vermischung der Matrixatome durch Kaskadenmischung, Implantation der Primärionen). Die numerische Simulation der SIMS-Messung durch Anwendung dieses Modelles auf die untersuchten Proben zeigt eine Anhäufung implantierter Primärionen knapp hinter dem Schichtübergang. Im Fall von Sauerstoff erhöht dieser lokal die Ausbeute an positiven Sekundärionen. Der erechnete Verlauf dieser Signalüberhöhung stimmt innerhalb eines Faktors < 2 mit den Experimenten überein.
  相似文献   

12.
The deposition of diamondlike carbon (DLC) film and the measurements of ionic species by means of mass spectrometry were carried out in a CH4/N2 RF (13.56 MHz) plasma at 0.1 Torr. The film deposition rate greatly depended on both CH4/N2 composition ratio and RF power input. It was decreased monotonically as CH4 content decreased in the plasma and then rapidly diminished to negligible amounts at a critical CH4 content, which became large for higher RF power. The rate increased with increasing RF power, reaching a maximum value in 40% CH4 plasma. The predominant ionic products in CH4/N2 plasma were NH+ 4 and CH4N+ ions, which were produced by reactions of hydrocarbon ions, such as CH+ 3, CH+ 2, CH+ 5, and C2H+ 5 with NH3 molecules in the plasma. It was speculated that the production of NH+ 4 ion induced the decrease of C2H+ 5 ion density in the plasma, which caused a reduction in higher hydrocarbon ions densities and, accordingly, in film deposition rate. The N+ 2 ion sputtering also plays a major role in a reduction of film deposition rate for relatively large RF powers. The incorporation of nitrogen atoms into the bonding network of the DLC film deposited was greatly suppressed at present gas pressure conditions.  相似文献   

13.
The reactions of labeled N15NO+ with CO, NO, O2, 18O2, N2, NO2, and N2O have been investigated using a tandem ICR instrument. In each case the total rate coefficient, product distribution, and kinetic energy dependence were measured. The results indicate that very specific reaction mechanisms govern these reactions. This conclusion is suggested by the lack of isotopic scrambling in many cases and by the complete absence of energetically allowed products in almost all of the systems. The kinetic energy studies indicate that most of the reaction channels proceed through an intermediate complex at low energies and via a direct mechanism at higher kinetic energies. Such direct mechanisms include long range charge transfer and atom or ion transfer.  相似文献   

14.
A new approach for the understanding of the energy relaxation dynamics of excited atoms involving a long-lived molecular precursor is presented here for krypton. Excitation of the gas close to the 5s[3/2]2 metastable atomic level (E at. ?E exc.<kT) is achieved with an intense VUV laser source (I ≈ 1012 photon/pulse) realized by resonantly enhanced 4-wave mixing (2ω1 + ω2) in room temperature mercury vapor (N Hg ≈ 1013 at./cm3). The decay of the II. continuum luminescence (145 nm) is studied. In the pressure range 200–500 mbar, decay rates depend linearly on pressure but have a negative zero-pressure intersect. We show here that this result can be understood as an effect of the exchange of energy between two different “reservoirs” of atomic (5s[3/2]2) and molecular (1g) nature, and can be an inherent peculiarity of the recombination kinetics of excited atoms with several product channels. The efficiency of the model is checked for the Kr/N2 system. Rate constants for relaxation processes are determined in pure krypton and in Kr/N2 mixtures.  相似文献   

15.
Sb-doped SnO2 thin films, deposited by atomic layer epitaxy (ALE) for gas sensor applications, have been characterized by secondary ion mass spectrometry (SIMS). Quantification of the depth profile data has been carried out by preparing a series of ion implanted standards. Average concentrations determined by SIMS have been compared with Sb/Sn ratios obtained by X-ray fluorescence (XRF) spectrometry and proton induced X-ray emission (PIXE) spectrometry and have been found to be in good agreement. However, a detection limit of 5×1018 at cm-3 could only be obtained because of mass interferences. SIMS data show that the ALE technique can be used to produce a controllable growth and doping of thin films.  相似文献   

16.
Summary Small briquettes compressed of high-purity Os powder were bombarded by primary Ar+ ions for moderate dynamic SIMS conditions. Secondary ion mass spectra were observed for positive ions which were produced under residual gas and under O2, N2O, NO, NO2. For the different reactant gases these spectra were found rather similar, indicating that the nitrogen oxides mainly act as sources of reactive oxygen. But also some individual secondary ions containing nitrogen or NO are emitted from the target surface which, at least in the case of N2O and NO2, give some evidence of partial adsorptive fragmentation of the respective reactant gas molecules.  相似文献   

17.
[15N4]-Hexamethylenetetramine (Hexamine), and [15N4]-3,7-diacetyl-1,3,5,7-tetraazabicyclo[3.3.1]nonane(DAPT) have been prepared starting from 15NH3. Synthetic acetolysis reactions were performed using mixtures of pure [15N4]- and [14N4]-compounds and the destination of the nitrogen isotopes in the products was determined mass spectrometrically. The results show that relatively little isotopic mixing occurs in the acetolysis of hexamine to DAPT though the formation of some products with isotopic composition [14N315N1] and [14N115N3] indicates limited ring cleavage. However the more severe conditions used in the formation of 1,3,5-triacetyl-1,3,5-triazacyclohexane (TRAT) give rise to considerable isotopic scrambling. The acetolysis of DAPT to give 1,3,5,7-tetraacetyl-1,3,5,7-tetraazacyclooctane occurs by selective cleavage of the methylene bridge.  相似文献   

18.
A study of phenylalanine films of different thicknesses from submonolayer to 55 nm on Si wafers has been made using Bin+ and C60+ cluster primary ions in static SIMS. This shows that the effect of film thickness on ion yield is very similar for all primary ions, with an enhanced molecular yield at approximately 1 monolayer attributed to substrate backscattering. The static SIMS ion yields of phenylalanine at different thicknesses are, in principle, the equivalent of a static SIMS depth profile, without the complication of ion beam damage and roughness resulting from sputtering to the relevant thickness. Analyzing thin films of phenylalanine of different thicknesses allows an interpretation of molecular bonding to, and orientation on, the silicon substrate that is confirmed by XPS. The large crater size for cluster ions has interesting effects on the secondary ion intensities of both the overlayer and the substrate for monolayer and submonolayer quantities. This study expands the capability of SIMS for identification of the chemical structure of molecules at surfaces. © Crown copyright 2010.  相似文献   

19.
The potential of radiofrequency glow discharge optical emission spectrometry (rf-GD-OES) for the quantification and the solid-state speciation of metal oxide films has been investigated in this work. Two types of oxide coatings, an iron oxide film deposited on silicon and a chromate conversion coating (CCC), were studied at 700 Pa of pressure and 30 W of forward power. The metal to oxygen ratios in the quantitative depth profiles (Fe/O and Cr/O, respectively) were used to evaluate the oxidation states of iron and chromium in the oxide films, demonstrating the capability of GD-OES technique for depth-resolved solid-state speciation. Furthermore, the effect of glow discharge sputtering on the samples surface in terms of modifications in the surface morphology and species transformations, were investigated by using atomic force microscopy (AFM) and X-ray photoelectron spectroscopy (XPS). The iron and chromium oxidation states were carefully studied by XPS at the original samples surface and at the bottom of GD craters, and a systematic reduction of metal elements was observed after rf-GD-OES analysis. In the case of thin oxide films, preferential sputtering can be considered as a critical factor since oxygen atoms can be preferentially sputtered, leaving a metal-enriched surface and, therefore, promoting the reduction of metal elements. In the present study preferential sputtering was found to be sample dependent, changing the proportion of the metal reduction in the oxide film with its composition. Additionally, alternative sputter-depth-profiling techniques such as secondary ion mass spectrometry (SIMS), femtosecond laser ablation (fs-LA), and XPS ion gun were used for the analysis of the CCC in order to evaluate the reduction of Cr6+ to Cr3+ depending on the sputtering mechanism.  相似文献   

20.
We report about a new kind of directly heated gold electrode. All electrodes including a directly heated gold loop electrode, a Ag pseudo reference, and a carbon counter electrode have been screen-printed on a ceramic alumina substrate. Thermal behaviour was studied by potentiometry using either an external or the integrated reference electrode. Stripping voltammetric copper signals were greatly improved at elevated deposition temperature. Secondary ion mass spectrometric studies (ToF-SIMS) revealed that different negative ionic species of copper complexes can be found on the gold electrode surface as a result of ion bombardment during SIMS analysis like Cu?, CuCl? and CuCl2 ?. SIMS surface imaging using a fine focussed ion beam over the surface allowed us to obtain ion images (chemical maps) of the analyzed sample. SIMS depth profile analysis of the gold loop electrode was performed after copper deposition at room temperature (23 °C) and at 60 °C. CuCl2 ? ion was used for the depth profile studies as it has shown the highest intensity among other observed species. Surface spectroscopic analysis, surface imaging and depth profile analysis have shown that the amount of deposited copper species on the gold loop electrode was increased upon increasing electrode temperature during the deposition step. Therefore, the presence of chloride in the solution will hinder underpotential deposition of Cu(0) and lead to badly defined and resolved stripping peaks.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号