首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
The properties of Ru(5 nm)/WCoCN(5 nm) stacked layers as a seedless Cu barrier system has been investigated. Its barrier properties compared to single 10 nm Ru film were investigated by sheet resistances, X-ray diffraction patterns, transmission electron microscopy, energy dispersive spectrometry spot analysis, line scans, and leakage currents. Thermal stability of the Ru(5 nm)/WCoCN(5 nm) improved by over 100 °C than that of Ru(10 nm) barrier. The results show that Ru(5 nm)/WCoCN(5 nm) can effectively block Cu diffusion up to 600 °C for 30 min. The Ru(5 nm)/WCoCN(5 nm) bilayer is a great Cu barrier candidate for seedless Cu interconnects.  相似文献   

2.
In this work, the effect of tin-doped indium oxide (ITO) film as capping layer on the agglomeration of copper film and the appearance of copper silicide was studied. Both samples of Cu 100 nm/ITO 10 nm/Si and ITO 20 nm/Cu 100 nm/ITO 10 nm/Si were prepared by sputtering deposition. After annealing in a rapid thermal annealing (RTA) furnace at various temperatures for 5 min in vacuum, the samples were characterized by four probe measurement for sheet resistance, X-ray diffraction (XRD) analysis for phase identification, scanning electron microscopy (SEM) for surface morphology and transmission electron microscopy (TEM) for microstructure.The results show that the sample with ITO capping layer is a good diffusion barrier between copper and silicon at least up to 750 °C, which is 100 °C higher than that of the sample without ITO capping layer. The failure temperature of the sample with ITO capping layer is about 800 °C, which is 100 °C higher than that of the sample without ITO capping layer. The ITO capping layer on Cu/ITO/Si can obstacle the agglomeration of copper film and the appearance of Cu3Si phase.  相似文献   

3.
A methodology combining non-destructive X-ray techniques is proposed to study the interfacial zones of periodic multilayers. The used X-ray techniques are X-ray emission spectroscopy induced by electrons and X-ray reflectivity in the hard and soft X-ray ranges. The first technique evidences the presence of compounds at the interfaces and gives an estimation of the thickness of the interfacial zone. These informations are used to constrain the fit of the X-ray reflectivity curves that enables to determine the thickness and roughness of the various layers of the stacks. The results are validated in the soft X-ray range where the reflectivity curves are very sensitive to the chemical state of the elements present in the stack. The methodology is applied to characterize Mo/Si (1-4 nm/2 nm) and B4C/Mo/Si (1 nm/2 nm/2 nm) multilayers. It is shown that the two interfacial zones of the Mo/Si multilayers are composed of the silicides MoSi2 and Mo5Si3. It is found that the interface thickness is about to be 0.4-0.8 nm depending on the samples. The molybdenum silicides are also evidenced at the interfaces of the B4C/Mo/Si multilayers. However, their interface thickness is 0.2 nm thinner than that of the same stack without the B4C layers, these layers being at the Mo-on-Si side or at the Si-on-Mo side. Thus, the B4C layers do not stop but only reduce the interdiffusion between the Mo and Si layers.  相似文献   

4.
The saddle field fast atom beam sputtered (ABS) 50 nm thick molybdenum carbide (Mo2C) films as a diffusion barrier for copper metallization were investigated. To study the diffusion barrier properties of Mo2C films, the as-deposited and annealed samples were characterized using four probes, X-ray diffraction, field enhanced scanning electron microscopy, energy dispersive X-ray analysis, atomic force microscopy and Rutherford back scattering techniques. The amorphous structure of the barrier films along with presence of carbon atoms at the molybdenum carbide-silicon interface is understood to reduce effective grain boundaries and responsible for increased thermal stability of Cu/Mo2C/Si structure. The lowest resistivity of the as-deposited molybdenum carbide barrier films was ∼29 μΩ cm. The low carbon containing molybdenum carbide was found thermally stable up to 700 °C, therefore can potentially be used as a diffusion barrier for copper metallization.  相似文献   

5.
TaN underlayers for spin valves were studied, which were deposited directly on top of Si substrates. The experimental results obtained with the TaN underlayer were compared with those obtained with other (Ta, Mo, and MoN) underlayers. The spin valve structure was Si/Underlayer(tÅ)/NiFe(21 Å)/CoFe(28 Å)/Cu(22 Å)/CoFe(18 Å)/IrMn(65 Å)/Ta(25 Å). The TaN underlayer for a spin valve element exhibited good adhesion to the Si substrate. The XRD patterns of the annealed TaN on bare Si substrate at 900 °C showed no Ta silicide phases, which suggests that the TaN layer may also be used as a diffusion barrier between Si substrate and the ensuing spin valve active layers, as well as an underlayer. A spin valve element having TaN underlayer deposited directly on top of a Si substrate showed a high MR ratio of about 8.3% after annealing at 200 °C. It is concluded that it is advantageous to use a TaN underlayer if one wants to fabricate spin valve elements directly on top of Si substrates.  相似文献   

6.
A structure of Cu/ITO(10 nm)/Si was first formed and then annealed at various temperatures for 5 min in a rapid thermal annealing furnace under 10−2 Torr pressure. In Cu/ITO(10 nm)/Si structure, the ITO(10 nm) film was coated on Si substrate by sputtering process and the Cu film was deposited on ITO film by electroplating technique. The various Cu/ITO(10 nm)/Si samples were characterized by a four-point probe, a scanning electron microscope, an X-ray diffractometer, and a transmission electron microscope. The results showed that when the annealing temperature increases near 600 °C the interface between Cu and ITO becomes unstable, and the Cu3Si particles begin to form; and when the annealing temperature increases to 650 °C, a good many of Cu3Si particles about 1 μm in size form and the sheet resistance of Cu/ITO(10 nm)/Si structure largely increases.  相似文献   

7.
The diffusion of Cu through TaN-based thin layers into a Si substrate has been studied. The barrier efficiency of TaN/Ta/TaN multilayers of 150 nm in thickness has been investigated and is compared with that of TaN single layers. Thermal stabilities of these TaN-based thin layers against Cu diffusion were determined from in situ X-ray diffraction experiments, conducted in the temperature range of 773-973 K. The TaN/Ta/TaN barrier appeared to be more efficient in preventing Cu diffusion than the TaN single layer.  相似文献   

8.
A set of Mo/Si periodic multilayers is studied by non-destructive analysis methods. The thickness of the Si layers is 5 nm while the thickness of the Mo layers changes from one multilayer to another, from 2 to 4 nm. This enables us to probe the effect of the transition between the amorphous and crystalline state of the Mo layers near the interfaces with Si on the optical performances of the multilayers. This transition results in the variation of the refractive index (density variation) of the Mo layers, as observed by X-ray reflectivity (XRR) at a wavelength of 0.154 nm. Combining X-ray emission spectroscopy (XES) and XRR, the parameters (composition, thickness and roughness) of the interfacial layers formed by the interaction between the Mo and Si layers are determined. However, these parameters do not evolve significantly as a function of the Mo thickness. It is observed by diffuse scattering at 1.33 nm that the lateral correlation length of the roughness strongly decreases when the Mo thickness goes from 2 to 3 nm. This is due to the development of Mo crystallites parallel to the multilayer surface.  相似文献   

9.
Electrical resistivities and thermal stabilities of carbon-doped Cu films on silicon have been investigated. The films were prepared by magnetron sputtering using a Cu-C alloy target. After annealing at 400 °C for 1 h, the resistivity maintains a low level at 2.7 μΩ-cm and no Cu-Si reaction is detected in the film by X-ray diffraction (XRD) and transmission electron microscopy (TEM) observations. According to the secondary ion mass spectroscopy (SIMS) results, carbon is enriched near the interfacial region of Cu(C)/Si, and is considered responsible for the growth of an amorphous Cu(C)/Si interlayer that inhibits the Cu-Si inter-diffusion. Fine Cu grains, less than 100 nm, were present in the Cu(C) films after long-term and high-temperature annealings. The effect of C shows a combination of forming a self-passivated interface barrier layer and maintaining a fine-grained structure of Cu. A low current leakage measured on this Cu(C) film also provides further evidence for the carbon-induced diffusion barrier interlayer performance.  相似文献   

10.
Zr-N diffusion barriers were deposited on the Si substrates by rf reactive magnetron sputtering under various substrate bias voltages. Cu films were subsequently sputtered onto the Zr-N films by dc pulse magnetron sputtering without breaking vacuum. The Cu/Zr-N/Si specimens were then annealed up to 650 °C in N2 ambient for an hour. The effects of deposition bias on growth rate, film resistivity, microstructure, and diffusion barrier properties of Zr-N films were investigated. An increase in negative substrate bias resulted in a decrease in deposition rate together with a decrease in resistivity. It was found that the sheet resistances of Cu/Zr-N(−200 V)/Si contact system were lower than those of Cu/Zr-N(−50 V)/Si specimens after annealing at 650 °C. Cu/Zr-N(−200 V)/Si contact systems showed better thermal stability so that the Cu3Si phase could not be detected.  相似文献   

11.
Two groups of Mo/Si films were deposited on surface of Si(1 0 0) crystal. The first group of the samples was prepared by both ion beam assisted deposition (IBAD) and metal vapor vacuum arc (MEVVA) ion implantation technologies under temperatures from 200 to 400 °C. The deposited species of IBAD were Mo and Si, and different sputtering Ar ion densities were selected. The mixed Mo/Si films were implanted by Mo ion with energy of 94 keV, and fluence of Mo ion was 5 × 1016 ions/cm2. The second group of the samples was prepared only by IBAD under the same test temperature range. The Mo/Si samples were analyzed by X-ray diffraction (XRD), atomic force microscopy (AFM), scanning electron microscopy (SEM), X-ray photoelectron spectroscopy (XPS), sheet resistance, nanohardness, and modulus of the Mo/Si films were also measured. For the Mo/Si films implanted with Mo ion, XRD results indicate that phase of the Mo/Si films prepared at 400 and 300 °C was pure MoSi2. Sheet resistance of the Mo/Si films implanted with Mo ion was less than that of the Mo/Si films prepared without ion implantation. Nanohardness and modulus of the Mo/Si films were obviously affected by test parameters.  相似文献   

12.
In this study, 15 nm-thick sputter-deposited TiVCr alloy thin films were developed as diffusion barrier layers for Cu interconnects. The TiVCr alloy film tends to form a solid solution and a simple crystal structure from the constituted elements. Under TEM, the 15 nm-thick as-deposited TiVCr alloy film was observed to have a dense semi-amorphous or nanocrystalline structure. In conjunction with X-ray diffraction, transmission electron microscopy, and energy-dispersive spectroscopy analyses, the Si/TiVCr/Cu film stack remained stable at a high temperature of 700 °C for 30 min. The electrical resistance of Si/TiVCr/Cu film stack remained as low as the as-deposited value. These indicated that the mixed TiVCr refractory elements’ alloy barrier layer is very beneficial to prevent Cu diffusion.  相似文献   

13.
Barrier capability of Zr-Si diffusion barriers in Cu metallization has been investigated. Amorphous Zr-Si diffusion barriers were deposited on the Si substrates by RF reactive magnetron sputtering under various substrate temperatures. An increase in substrate temperature results in a slightly decreased deposition rate together with an increase in mass density. An increase in substrate temperature also results in grain growth as deduced from field emission scanning electron microscopy (FE-SEM) micrographs. X-ray diffraction (XRD) spectra and Auger electron spectroscopy (AES) depth profiles for Cu/Zr-Si(RT)/Si and Cu/Zr-Si(300 °C)/Si samples subjected to anneal at various temperatures show that the thermal stability was strongly correlated with the deposition temperature (consequently different density and chemical composition etc.) of the Zr-Si barrier layers. ZrSi(300 °C) with higher mass density make the Cu/Zr-Si(300 °C)/Si sample more stable. The appearance of Cu3Si in the Cu/Zr-Si/Si sample is attributed to the failure mechanism which may be associated with the diffusion of Cu and Si via the grain boundaries of the Zr-Si barriers.  相似文献   

14.
Ternary Ti-Si-N refractory barrier films of 15 nm thick was prepared by low frequency, high density, inductively coupled plasma implantation of N into TixSiy substrate. This leads to the formation of Ti-N and Si-N compounds in the ternary film. Diffusion of copper in the barrier layer after annealing treatment at various temperatures was investigated using time-of-flight secondary ion mass spectrometer (ToF-SIMS) depth profiling, X-ray diffractometer (XRD), field emission scanning electron microscopy (FESEM), energy dispersive X-ray (EDX) and sheet resistance measurement. The current study found that barrier failure did not occur until 650 °C annealing for 30 min. The failure occurs by the diffusion of copper into the Ti-Si-N film to form Cu-Ti and Cu-N compounds. FESEM surface morphology and EDX show that copper compounds were formed on the ridge areas of the Ti-Si-N film. The sheet resistance verifies the diffusion of Cu into the Ti-Si-N film; there is a sudden drop in the resistance with Cu compound formation. This finding provides a simple and effective method of monitoring Cu diffusion in TiN-based diffusion barriers.  相似文献   

15.
In this study, an electroplating method to deposited Ni, crystalline NiW(c-NiW), amorphous NiW (a-NiW) films on P-type Si(1 0 0) were used to form Ni-silicide (NiSi) films. After annealed at various temperatures, sheet resistance of Ni/Cu, c-NiW/Cu and a-NiW/Cu was measured to observe the performance of those diffusion barrier layers. With W added in the barrier layer, the barrier performance was improved. The results of XRD and resistance measurement of the stacked Si/Ni(W)/Cu films reveal that Cu atom could diffuse through Ni barrier layer at 450 °C, could diffuse through c-NiW at 550 °C, but could hardly diffuse through a-NiW barrier layer. c-NiW layer has a better barrier performance than Ni layer, meanwhile the resistance is lower than a-NiW layer.  相似文献   

16.
The structural and morphological properties of epitaxial Cu/Si(0 0 1) type of structures have been investigated by a combination of electron, X-ray and scanning probe imaging techniques. Auger electron spectroscopy measurements indicate the presence of Si in the Cu layer for Cu thicknesses up to 10 nm. In addition, X-ray scattering results show that there is a mosaic spread in the Cu(0 0 1) crystal which decreases as the Cu thickness increases, from 8° at 15 nm to 4.5° at 100 nm. This behaviour is corroborated by reflection high energy electron diffraction patterns of the Cu surface measured during growth, which exhibit a twinning in the diffraction spots for the 15 and 30 nm Cu films. Atomic force and scanning electron microscopy imaging of Cu(4 nm)/Co(7,17 nm)/Cu(100 nm)/Si(0 0 1) structures allow one to visualise and characterise the sample surface in real space; from these measurements, an average roughness amplitude of ∼0.5 nm and a correlation length of ∼50 nm are obtained. Our results provide a better understanding of an important system which has been widely used as a template for the growth of epitaxial ultrathin magnetic films.  相似文献   

17.
The characteristics of Ni/Si(1 0 0) solid-state reaction with Al addition (Ni/Al/Si(1 0 0), Ni/Al/Ni/Si(1 0 0) and Al/Ni/Si(1 0 0)) is studied. Ni and Al films were deposited on Si(1 0 0) substrate by ion beam sputtering. The solid-state reaction between metal films and Si was performed by rapid thermal annealing. The sheet resistance of the formed silicide film was measured by four-point probe method. The X-ray diffraction (XRD) was employed to detect the phases in the silicide film. The Auger electron spectroscopy was applied to reveal the element profiles in depth. The influence of Al addition on the Schottky barrier heights of the formed silicide/Si diodes was investigated by current-voltage measurements. The experimental results show that NiSi forms even with the addition of Al, although the formation temperature correspondingly changes. It is revealed that Ni silicidation is accompanied with Al diffusion in Ni film toward the film top surface and Al is the dominant diffusion species in Ni/Al system. However, no NixAly phase is detected in the films and no significant Schottky barrier height modulation by the addition of Al is observed.  相似文献   

18.
5-nm-thick amorphous Ni-Ti films deposited on Si by magnetron sputtering, annealed at various temperatures in high vacuum, have been studied as diffusion barriers for Cu interconnection using X-ray diffraction, atomic force microscopy and four-probe methods. Although no Cu silicide peaks are found from X-ray diffraction patterns of the samples annealed up to 750 °C, it is found that the sheet resistance of Cu/Ni-Ti/Si decreases with the increase of annealing temperature and then slightly increases when the annealing temperature is higher than 700 °C. Root mean square roughness of Cu/Ni-Ti/Si increases with the increase of annealing temperature and many island-like grains present on the surface of the 750 °C annealed sample, which is ascribed to dewetting and agglomeration.  相似文献   

19.
Ni + Mo + Si composite coatings were prepared by co-deposition of nickel with molybdenum and silicon powders from a nickel solution in which Mo and Si particles were suspended by stirring. The layers have been deposited on a carbon steel substrate (St3S) under galvanostatic conditions. The content of Si in deposited layers was about 2-5 wt.% depending on deposition current density and the value of electric charge. For comparison Ni + Mo composite coatings were obtained under analogous current conditions. Composite coatings of enhanced Si content (15 wt.%) were deposited from an electrolyte in which 40 g/dm3 of Si covered with electroless plated nickel was dispersed. Deposition current density was equal 0.1 A/cm2 and the value of electric charge Q = 500 C/cm2. The thickness of the coatings was about 100-300 μm depending on their kind, electric charge and the deposition current density. Surface and cross-section morphology were investigated by scanning electron microscope (SEM). All deposited coatings are characterized by great, developed surface area. No internal stresses causing their cracking were observed. Chemical composition of the layers was determined by X-ray fluorescence spectroscopy (XRF) method and quantitative X-ray analysis (QXRD). It was stated, that the content of molybdenum and silicon in Ni + Mo + Si coatings depends on deposition current density and the amount of the powder in bath. The results of structural investigation of the obtained layers by the X-ray diffraction (XRD) method show, that they consist in crystalline Mo or Mo and Si phases built into Ni matrix. Moreover, Ni + Mo + Si composite coatings were modified by thermal treatment. It has been found that the thermal treatment of Ni + Mo + Si composite coatings caused that the new phases (NiSi, Mo2Ni3Si and Ni6Mo6C1.06) were obtained.  相似文献   

20.
Using first-principles total-energy calculations, we have investigated the adsorption and diffusion of Si and Ge adatoms on Ge/Si(0 0 1)-(2 × 8) and Ge/Si(1 0 5)-(1 × 2) surfaces. The dimer vacancy lines on Ge/Si(0 0 1)-(2 × 8) and the alternate SA and rebonded SB steps on Ge/Si(1 0 5)-(1 × 2) are found to strongly influence the adatom kinetics. On Ge/Si(0 0 1)-(2 × 8) surface, the fast diffusion path is found to be along the dimer vacancy line (DVL), reversing the diffusion anisotropy on Si(0 0 1). Also, there exists a repulsion between the adatom and the DVL, which is expected to increase the adatom density and hence island nucleation rate in between the DVLs. On Ge/Si(1 0 5)-(1 × 2) surface, the overall diffusion barrier of Si(Ge) along direction is relative fast with a barrier of ∼0.83(0.61) eV, despite of the large surface undulation. This indicates that the adatoms can rapidly diffuse up and down the (1 0 5)-faceted Ge hut island. The diffusion is also almost isotropic along [0 1 0] and directions.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号