首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 703 毫秒
1.
A computational procedure is presented to quantify the order achieved in assembled block copolymer films when no disruptive defects are present (i.e., dislocations or disclinations). Both simulated and real systems were used to show that sub‐nm variation in the domain position, as well as the corresponding reciprocal lattice vectors, can reduce the accuracy in the quantification of the order of the system. The computational procedure in this work was based on fitting to the measured spatial location of the domain centroids, and incorporated a tolerance factor to account for domain position variation. The procedure was used to analyze the translational and orientational order parameters of block copolymer films assembled on a chemical pattern as well as their corresponding autocorrelation functions. The procedure was applied to a patterned substrate during three stages of a template forming process: an e‐beamed patterned photoresist, the domains of a block copolymer directed to assemble on this pattern, and the underlying structure after lift‐off. Use of the procedure demonstrated that the order of the block copolymer film could be retained in subsequent processing of the underlying template. © 2010 Wiley Periodicals, Inc. J Polym Sci Part B: Polym Phys, 2010  相似文献   

2.
Block copolymers with chemically immiscible segments exhibit a variety of microphase-separated nanostructures on the scale of 10-100 nm. Controlling the orientation of these microphase separated nanostructures is vital in many applications such as lithography, membranes, data storage, and so forth. Typical strategies involve the use of external fields or patterned substrates. Here, we report a robust zone casting technique to achieve highly ordered thin films of block copolymers on centimeter-scale substrates. The robustness of this technique is its powerful control on diverse morphologies and exceptional tolerance on versatility of block copolymer chemistry as well as allowance of a wide spectrum of substrates. We demonstrate that perpendicular orientations with respect to the surface are achieved for block copolymers with both lamellar and cylindrical morphologies by controlling solution casting rate, temperatures, and block copolymer chemical structures. Thin films of both noncrystalline and crystalline block copolymers exhibit excellent orientational order and lateral order. However, the lateral order in the thin films of crystalline block copolymers shows dependence on casting temperature and melting temperature of the crystalline segment. Remarkably, all the ordering is independent of the substrates on which the block copolymer films are cast.  相似文献   

3.
We report here the fabrication of periodic sub-25 nm diameter size cylinder structures using block copolymer (BCP) directed self-assembly on nanoimprinted topographically patterned substrates. Tailored polyhedral oligomeric silsesquioxanes (POSSs) films were spin coated onto silicon substrates and were patterned by nanoimprint lithography to produce topographies commensurable with the BCP domain spacing. The chemistry of the POSS was tuned to control the alignment and orientation of the BCP films. The substrates were used to direct the microphase separation (following toluene solvent annealing) of a hexagonal structure forming polystyrene-block-polydimethylsiloxane (PS-b-PDMS) having a domain spacing of 42.6 nm and PDMS cylinder widths of 23.7 nm. On more hydrophilic POSS substrates the cylinders were obtained parallel to the substrate plane and aligned with the topography. In contrast, in more hydrophobic POSS patterns, the cylinders align perpendicular to the substrate plane. The use of these methods for the nanofabrication of vias, nanofluidic devices or interconnect structures of sub-25 nm feature size is discussed.  相似文献   

4.
This review covers recent advances in developing square arrays in thin films using block copolymers. Theoretical and experimental results from self‐assembly of block copolymers in bulk and thin films, directed self‐assembly of block copolymers confined in small wells, on substrates with arrays of posts, and on chemically nanopatterned substrates, as well as applications as nanolithography are reviewed. Some future work and hypothesis are discussed. © 2012 Wiley Periodicals, Inc. J Polym Sci Part B: Polym Phys, 2013  相似文献   

5.
Lamellae forming diblock copolymer domains can be directed to assemble without defects and in registration with chemically nanopatterned substrates. Initially, thin films of the lamellar poly(styrene-b-methyl methacrylate) block copolymer form hexagonally close-packed styrene domains when annealed on chemical nanopatterned striped surfaces. These styrene domains then coalesce to form linear styrene domains that are not fully registered with the underlying chemical surface pattern. Defects coarsen, until defect-free directed assembly is obtained, by breaking linear styrene domains and reforming new structures until registered lamellae have been formed. At all stages in the process, two factors play an important role in the observed degree of registration of the block copolymer domains as a function of annealing time: the interfacial energy between the blocks of the copolymer and the chemically nanopatterned substrate and the commensurability of the bulk repeat period of the block copolymer and the substrate pattern period. Insight into the time-dependent three-dimensional behavior of the block copolymer structures is gained from single chain in mean field simulations. © 2005 Wiley Periodicals, Inc. J Polym Sci Part B: Polym Phys 43: 3444–3459, 2005  相似文献   

6.
7.
Nanoimprint lithography is used to create large‐area two‐dimensional prepatterns with tunable topographic heights in a resist layer. The resist prepatterns are applied to direct the self‐assembly of sphere‐forming polystyrene‐block‐polydimethylsiloxane block copolymers so as to form sparse nonregular nanodot arrays with flexible pattern layouts from high‐topography prepattern or dense regular nanodot arrays with a multiplicative pattern density from low‐topography prepattern. By precisely controlling the topographic height in substrate prepatterns, the origin of directed self‐assembly of block copolymer spheres using low‐topography prepattern is found to be topographic contrast. High‐fidelity pattern transfer from spherical block copolymer nanotemplates to functional materials indicates a promising route to ultrahigh density nanodevices. Bit‐patterned media over 1 teradot/in on a 2.5‐inch disk are fabricated, thus presenting future magnetic data storage media with great areal density growth potential. © 2013 Wiley Periodicals, Inc. J. Polym. Sci., Part B: Polym. Phys. 2014 , 52, 361–367  相似文献   

8.
We present results from a numerical study of a coarse-grained model of diblock copolymer (BCP) thin films cast on a chemically patterned surface. The patterned surface contains chemical inhomogeneities with a repeat spacing length scale comparable to the linear size of the BCP molecules. We find that the orientation of the lamellae in the thin film and the overlap of the film morphology with the preassigned surface pattern is strongly influenced by the commensurability between the bulk unconstrained lamellar size λ*, and the linear size of the surface inhomogeneities w. PACS Numbers: 64.60.Cn, 61.41.+e, 64.60.My, 64.75.+g. © 1998 John Wiley & Sons, Inc. J Polym Sci B: Polym Phys 36: 3127–3136, 1998  相似文献   

9.
We report here a strategy for influencing the phase and lattice of the inverse mesophases of a single branched‐linear block copolymer (BCP) in solution which does not require changing the structure of the BCP. The phase of the self‐assembled structures of the block copolymer can be controlled ranging from bilayer structures of positive curvature (polymersomes) to inverse mesophases (triply periodic minimal surfaces and inverse hexagonal structures) by adjusting the solvent used for self‐assembly. By using solvent mixtures to dissolve the block copolymer we were able to systematically change the affinity of the solvent toward the polystyrene block, which resulted in the formation of inverse mesophases with the desired lattice by self‐assembly of a single branched‐linear block copolymer. Our method was also applied to a new solution self‐assembly method for a branched‐linear block copolymer on a stationary substrate under humidity, which resulted in the formation of large mesoporous films. Our results constitute the first controlled transition of the inverse mesophases of block copolymers by adjusting the solvent composition.  相似文献   

10.
Nanoparticle films coated on smooth substrates by convective assembly from dilute suspensions in dip‐coating configuration are known to have discrete film morphologies. Specifically, the film morphology is characterized by alternating bands of densely packed particles and bands of bare substrate. Convective assembly is a frontal film‐growth process that occurs at the three‐phase contact line formed by the substrate, the suspension in which it is submersed, and the surrounding air. The bands are parallel to this contact line and can be either monolayered or multilayered. Monolayered bands result whenever the substrate is withdrawn from the suspension at a rate too high for particles to assemble into a continuous film. We report a new insight to the mechanism behind this banding phenomenon, namely, that inter‐band spacing is strongly influenced by the constituent particle size. We therefore propose a geometric model relating the inter‐band spacing to the particle size. By making banded films with systematically varied particle sizes (silica/zeolite, 20 to 500 nm), we are able to quantitatively validate our model. Furthermore, the model correctly predicts that multilayered banded films have higher inter‐band spacings than monolayered banded films comprising the same particles.  相似文献   

11.
季生象 《高分子科学》2016,34(6):659-678
Block copolymer lithography is emerging as one of the leading technologies for patterning nanoscale dense features. In almost all potential applications of this technology, control over the orientation of cylindrical and lamellar domains is required for pattern transfer from the block copolymer film. This review highlights the state-of-art development of brushes to modify the substrates to control the assembly behaviors of block copolymers in films. Selected important contributions to the development of self-assembled monolayers, polymer brushes and mats, and chemically patterned brushes are discussed.  相似文献   

12.
We study the guided self-assembly of symmetric/asymmetric diblock copolymer (BCP) films on heterogeneous substrates with chemically patterned surface by using a coarse-grained phase-separation model. During the procedure, the free energy employed for the BCP films was modeled by the Ginzburg-Landau free energy with nonlocal interaction, and the flat, chemically patterned surface was considered as a heterogeneous surface with short-range interaction with the BCP molecules. The resulting Cahn-Hilliard equation was solved by means of an efficient semi-implicit Fourier-spectral algorithm. Effects of pattern scale, surface chemical potential, and BCP asymmetry on the self-assembly process were explored in detail and compared with those without chemically patterned substrate surfaces. It was found that the morphology of both symmetric and asymmetric BCP films is strongly influenced by the commensurability between the unconstrained natural period lambda* of the bulk BCP and the artificial pattern period. Simulation shows that patterned surface with period close to lambda* leads to highly ordered morphology after self-assembly for both symmetric and asymmetric BCP films, and it also dramatically accelerates the guided self-assembly process. The present simulation is in a very good agreement with the recent experimental observation in BCP nanolithography. Finally, the present study also expects an innovative nanomanufacturing method to produce highly ordered nanodots based on the guided self-assembly of asymmetric BCP films on chemically patterned substrates.  相似文献   

13.
A polystyrene‐block‐poly(ethylene oxide) block copolymer bearing a photocleavable junction between the blocks is used to form nanoporous thin films with carboxylic acid functions homogeneously distributed on the pore walls. The presence of the carboxylic acid groups is evidenced by fluorescence spectroscopy after their reaction with a diazomethane functionalized fluorescent dye. In addition, the initial light‐responsive thin film, acting as a photoresist, can be easily patterned to selectively generate porosity in predetermined areas. In that way, fluorescent patterns can be obtained as evidenced by fluorescent microscopy.  相似文献   

14.
Fabrication of honeycomb patterned films from our synthesized amphiphilic dendronized block copolymer by "on-solid surface spreading" method and "on-water spreading" method was reported for the first time in this paper. The comparison of the two methods indicated honeycomb-patterned films with smaller size, and larger surface density of micropores can be fabricated by spreading on water but with lower regular arrangement. Furthermore, several influencing factors on the formation of the honeycomb structure and the different morphologies, such as the concentration of the copolymer solution and the relative humidity in the atmosphere and the substrates, were investigated. The results showed that comparably high relative humidity from 80% to 95% was needed, and the mica plate as a spreading substrate was suitable to form orderly porous films for such a copolymer. The best ordered pattern could be formed from the copolymer with concentration of 1.00 mg/mL at the relative humidity of 85% using a mica plate. Besides, strong periodicity, regularity, and a large, defect-free area were notable, which made this structure extremely interesting for applications for templated molecular objects formed via intramolecular metal or metal oxide synthesis.  相似文献   

15.
The self‐assembly of block copolymers is an emerging strategy to produce isoporous ultrafiltration membranes. However, thus far, it has not been possible to bridge the gap from ultra‐ to nanofiltration and decrease the pore size of self‐assembled block copolymer membranes to below 5 nm without post‐treatment. It is now reported that the self‐assembly of blends of two chemically interacting copolymers can lead to highly porous membranes with pore diameters as small as 1.5 nm. The membrane containing an ultraporous, 60 nm thin separation layer can fully reject solutes with molecular weights of 600 g mol?1 in aqueous solutions with a water flux that is more than one order of magnitude higher than the permeance of commercial nanofiltration membranes. Simulations of the membrane formation process by dissipative particle dynamics (DPD) were used to explain the dramatic observed pore size reduction combined with an increase in water flux.  相似文献   

16.
Block copolymers have been extensively studied over the last few decades because they can self‐assemble into well‐ordered nanoscale structures. The morphologies of block copolymers in confined geometries, however, are still not fully understood. In this work, the fabrication and morphologies of three‐dimensional polystyrene‐block‐polydimethylsiloxane (PS‐b‐PDMS) nanostructures confined in the nanopores of anodic aluminum oxide (AAO) templates are studied. It is discovered that the block copolymers can wet the nanopores using a novel solvent‐annealing‐induced nanowetting in templates (SAINT) method. The unique advantage of this method is that the problem of thermal degradation can be avoided. In addition, the morphologies of PS‐b‐PDMS nanostructures can be controlled by changing the wetting conditions. Different solvents are used as the annealing solvent, including toluene, hexane, and a co‐solvent of toluene and hexane. When the block copolymer wets the nanopores in toluene vapors, a perpendicular morphology is observed. When the block copolymer wets the nanopores in co‐solvent vapors (toluene/hexane = 3:2), unusual circular and helical morphologies are obtained. These three‐dimensional nanostructures can serve as naontemplates for refilling with other functional materials, such as Au, Ag, ZnO, and TiO2.

  相似文献   


17.
We use a three‐dimensional self‐consistent field model to study the adsorption of A‐B copolymers from A‐B copolymer/A homopolymer blends on planar substrates comprising two chemically distinct regions C and D. The interplay between the spatial distribution of the surface chemical heterogeneities and the monomer sequence distribution in the copolymer is examined for diblock (A‐B), triblock (A‐B‐A), inverted triblock (B‐A‐B), and alternating (A‐alt‐B) copolymers. Our results demonstrate that when the chemically heterogeneous motifs on the substrate are detected by the copolymer adsorbing segments, the copolymers can transcribe them with high fidelity into three dimensions. The way the surface pattern gets transferred is dictated by the monomer sequence distribution. We show that relative to alternating copolymers, block copolymers are generally better at capturing the chemical pattern shape and transcribing it into the polymer mixture. Moreover, block copolymers with shorter adsorbing blocks are capable of better recognizing the substrate motifs. In order to address the interplay between the monomer sequence distribution in the copolymer and the interaction energies, we systematically vary the repulsion between A and B, and the attraction between B and D. Our calculations reveal that increasing i) the interaction between the copolymer adsorbing segments (B) and the “sticky” points at the substrate (D), and/or ii) the repulsion between the copolymer segments (A and B) increases the total amount of the copolymer adsorbed at the mixture/substrate interface, and decreases (increases) the fidelity of the substrate chemical pattern recognition by compositionally symmetric (asymmetric) copolymers.  相似文献   

18.
The site‐specific attachment of nanoparticles is of interest for biomaterials or biosensor applications. Polymer brushes can be used to regulate this adsorption, so the conditions for selective adsorption of phosphonate‐functionalized nanoparticles onto micropatterned polymer brushes with different functional groups are optimized. By choosing the strong polyelectrolytes poly(3‐sulfopropyl methacrylate), poly(sulfobetaine methacrylate), and poly[2‐(methacryloyloxy)ethyl trimethylammonium chloride], it is possible to direct the adsorption of nanoparticles to specific regions of the patterned substrates. A pH‐dependent adsorption can be achieved by using the polycarboxylate brush poly(methacrylic acid) (PMAA) as substrate coating. On PMAA brushes, the nanoparticles switch from attachment to the brush regions to attachment to the grooves of a patterned substrate on changing the pH from 3 to 7. In this manner, patterned substrates are realized that assemble nanoparticles in pattern grooves, in polymer brush areas, or substrates that resist the deposition of the nanoparticles. The nanoparticle deposition can be directed in a pH‐dependent manner on a weak polyelectrolyte, or is solely charge‐dependent on strong polyelectrolytes. These results are correlated with surface potential measurements and show that an optical trap is a versatile method to directly probe interactions between nanoparticles and polymer brushes. A model for these interactions is proposed based on the optical trap measurements.  相似文献   

19.
Controlling the morphology, domain orientation, and domain size of block copolymer (BCP) thin films is desirable for many applications in nanotechnology. These properties can be tuned during solvent annealing by varying the solvent choice and degree of swelling which affect the effective miscibility and volume fraction of the BCP domains. In this work, we demonstrate with a bulk lamellae‐forming BCP, poly(4‐trimethylsilylstyrene‐block‐D ,L ‐lactide) (PTMSS‐b‐PLA), that varying the composition of a mixture of solvent vapors containing cyclohexane (PTMSS‐selective) and acetone (PLA‐selective), enables formation of perpendicularly oriented lamellae with sub‐20‐nm pitch lines. The BCP domain periodicity was also observed to increase by 30%, compared to bulk, following solvent annealing. Furthermore, solvent annealing alone is shown to induce a transition from a disordered to an ordered BCP. We rationalize our observations by hypothesizing that the use of a combination of domain selective solvent mixtures serves to increase the effective repulsion between the blocks of the copolymer. We furnish results from self‐consistent field theory calculations to support the proposed mechanism. © 2013 Wiley Periodicals, Inc. J. Polym. Sci. Part B: Polym. Phys. 2014 , 52, 36–45  相似文献   

20.
Supramolecular assembly through complementary interaction between molecular subgroups belonging to phase‐separating polymer species offers a great opportunity, not only for constructing nanoscale soft templates reminiscent of conventional block copolymer morphologies, but also for tailoring surface properties by facile removal of one of the structure components by cleaving complementary interactions. Herein we report the fabrication of a novel, organic, nanoporous film through supramolecular assembly of two complementarily, end‐interacting, mono‐end‐functionalized polymers under solvent annealing. The film of end‐functionalized polymer blends under solvent annealing yielded phase‐separated nanodomains that resemble nanoscopically ordered structures of block copolymers, but that are more advantageous due to easily cleavable and exchangeable links between the phase‐separated domains. The removal of one of the components of the precursor structure formed from the end‐functionalized polymers through cleavage of complementary interactions allowed us to fabricate mono‐ or multilayered nanoporous structures in which the chemically useful end‐functionalities of the remnant polymers are rich on the surface of the pores. The resultant, organic, nanoporous films with tailored surface functionality offer a useful platform for various chemical and biological applications.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号