首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
Depending on the implantation temperature, the implantation of carbon ions into silicon at high doses results in the formation of either amorphous SiCx or crystalline 3C-SiC precipitates. Various aspects of the precipitation behaviour observed, such as the impeded nucleation, the limited growth and the resulting sensitivity to ballistic destruction are attributed to the large interfacial energy between crystalline silicon and 3C-SiC. Periodically arranged amorphous SiCx nanoclusters, which are formed at lower temperatures, are shown to promote amorphisation by their surrounding stress field and to represent sinks for silicon self-interstitials, which can be activated by annealing at 900 °C. By control of the depth distribution of equally sized, oriented 3C-SiC precipitates formed at higher implantation temperatures, it is possible to establish suitable starting conditions for the formation of buried homogeneous, single-crystalline 3C-SiC layers during a post-implantation anneal. The properties of these ion-beam-synthesised SiC layers are described and attempts to combine them with insulating and metallic layers are reviewed. A survey is given of the emerging applications of ion-beam-synthesised buried SiC layers and microstructures in electronic, optical and micromechanical devices and as large-area SiC pseudosubstrates. Received: 11 November 2002 / Accepted: 12 November 2002 / Published online: 4 April 2003 RID="*" ID="*"Corresponding author. Fax: +49-821/598-3425, E-mail: lindner@physik.uni-augsburg.de  相似文献   

2.
We have measured the gettering efficiencies for Cu and Ni of various silicon wafers, such as MeV-boron-implanted p- polished wafers treated with two different implantation doses of 3×1013 atoms/cm2 B and 1×1015 atoms/cm2 B, respectively. A third kind of wafer was covered with a poly-silicon back side and thermally pretreated before the gettering test to form oxygen precipitates in the bulk. The gettering test started with a reproducible spin-on spiking on the front side of the wafers in the range around 1012 atoms/cm2, followed by a thermal treatment to redistribute the metallic impurities in the wafer. Then the gettering efficiencies were measured by a novel wet chemical layer-by-layer etching technique in combination with inductively coupled plasma mass spectrometry. This led to “stratigraphical concentration profiles” of the metallic impurities in the wafer with typical detection limits of (5–10)×1012 atoms/cm3. The concentration profiles were compared with concentration profiles found after testing the gettering efficiency of p/p+ epitaxial wafers. Almost 100% of the total intentional Cu spiking was recovered in the boron buried layer for both implantation doses. On the front surface and in the region between the front surface and the buried layer a Cu concentration ∼20 times higher than on/in p/p+ epitaxial wafers/layers was measured for the implanted specimen. The lower implantation dose led to higher Cu-concentration levels on the front surface compared to the higher implantation dose. The wafer containing a MeV-boron-implanted layer as well as oxygen precipitates and a poly-silicon back side exhibited a Cu distribution of 30/∼0/70%, respectively. Thus, the gettering by poly-silicon exceeded both the gettering effects by the buried layer and by the oxygen precipitates. Ni gettering in MeV-boron-implanted wafers exhibited other characteristics. The gettering efficiency of the buried layer was 65%, while the remaining Ni contamination was equally distributed between the front-side region and the wafer back side. A wafer containing a buried layer obtained by a 1×1015 atoms/cm3 B dose and oxygen precipitates exhibited 17% of the total Ni contamination in the boron layer, while ∼80% of the total Ni contamination was gettered by oxygen precipitates. In the case of buried layer/oxygen precipitates/poly-silicon back side the distribution was found to be 13/37/45%, thus exhibiting equal gettering strengths for oxygen precipitates and the poly-silicon back side for Ni contamination. The results were discussed in terms of segregation and relaxation-induced gettering mechanisms including different reaction rates. Received: 30 May 2001 / Accepted: 16 June 2001 / Published online: 30 August 2001  相似文献   

3.
Despite decades of study, voids in silicon produced by implantation of H or He followed by annealing continue to be a topic of interest. There are two key applications: gettering of heavy metal impurities, and “ion cutting” used in silicon-on-insulator fabrication. Positron annihilation is one of the few techniques that can probe the vacancies and vacancy clusters that are the precursors to void formation. Data from recent studies will be discussed, including (I) isotopic substitution, in which comparisons of H vs. D implantation permit examination of the impact of primary point defects vs. chemical effects. Remarkable differences exist between H and D in blistering of silicon - ion doses 2-3 times higher are required for blistering with D than with H, despite a higher rate of primary defect production for D; (II) the effect of annealing temperature ramp-rate, in which we show that ramp-rate has a significant impact on residual defects, despite which it is so disregarded as to often be omitted from published reports; and (III) comparisons with electron microscopy which suggest that positron annihilation can be insensitive to large voids. In these studies, positron annihilation augments data from techniques including ion channelling, Raman scattering and electron microscopy; the suite of techniques allows elucidation of the interplay between implanted impurities and the vacancies and interstitials created by implantation.  相似文献   

4.
Transient effects on diffusion and activation during post-implantation anneals are a major obstacle for the further miniaturization of ultra-large-scale integrated semiconductor devices. The article reviews recent developments in the simulation of such phenomena with particular emphasis on models for the kinetics of self-interstitial agglomerates and boron–interstitial clusters. Received: 21 August 2002 / Accepted: 21 August 2002 / Published online: 12 February 2003 RID="*" ID="*"Corresponding author. Fax: +49-9131/761-212, E-mail: pichler@iis-bfhg.de  相似文献   

5.
A new process was recently developed to manufacture silicon carbide on insulator structures (SiCOI). The process consists of several steps: (i) hydrogen implantation into an oxidised SiC wafer, (ii) bonding the oxidised surface of this wafer to an oxidised silicon substrate and (iii) high temperature splitting of a thin SiC film from the SiC wafer at the depth of the maximum hydrogen concentration and further annealing of the splitted film. The defect generation occurring during this process was investigated by synchrotron radiation X-ray diffraction topography, with special emphasis on to the last two steps. Various X-ray topographic techniques were used to characterise the lattice defects inside the SiC wafer, to quantify the strong lattice distortion near the edges of the splitted SiC film and to reveal SiC film regions lost during the splitting process. Moreover, we show that the strain fields of dislocations, observed in the silicon substrate after high temperature splitting and annealing of the splitted structure, induce a corresponding deformation in the thin SiC overlayer, despite the presence of the sandwiched oxide film. The defect density is much lower in the central region of the SiCOI structure. Received: 29 June 2001 / Accepted: 8 November 2001 / Published online: 20 March 2002  相似文献   

6.
Secondary ion mass spectrometry (SIMS) is frequently used as the preferred tool for dopant profiling due to its sensitivity and depth resolution. However, as dopant profiles become shallower most, if not all of the implant profile lies in the pre-equilibrium or transient region of an SIMS depth profile. In this region sputter yield and ionisation rate vary making accurate quantification of the implant profile very difficult. These problems can be reduced through the use of much lower beam energies or oxygen flooding of the sample. However, most SIMS instruments do not have these capabilities. In this paper an alternative technique for producing an accurate depth profile of a shallow implant, using existing SIMS technology is presented.Through the fabrication of bevels with very small slope angles on a shallow boron implanted silicon via a chemical etch, SIMS ion imaging is performed on the exposed surface. Ion image data is then summed, and in conjunction with accurate measurement of the bevel morphology, a shallow boron implant profile produced. The ‘bevel-image’ profile compares very well with a profile obtained using a 1 keV oxygen beam. To ensure a good dynamic range on the ‘bevel-image’ profile it is important to clean the bevel with a HF etch, prior to imaging.  相似文献   

7.
x Si1-x/Si heterostructures have been obtained. Here the chemical effects seem to be of less importance. The Fermi-level effect determines the ionized boron solubilities in GexSi1-x and in Si, as well as the thermal equilibrium concentration of the singly-positively-charged crystal self-interstitials I+ which governs the boron diffusion process. The junction carrier concentration affects the concentration of I+ and solubility of B in the region and hence controls B diffusion across the heterojunction. Received: 20 August 1998/Accepted: 23 September 1998  相似文献   

8.
This paper deals with the implantation of high-energy (1.0–3.0 MeV) atomic and molecular Al+ ions in Si(100) to a fluence of 5×1014 Al atoms/cm2 at room temperature. The molecular effect, i.e. the increase of the displacement yield compared with the sum of the atomic yields, and the damage formation as well as defect behaviour after annealing have been investigated. A detailed experimental study has been made of the evolution of extended secondary defects which form during thermal anneals of Al+ or Al2 + irradiated silicon. The samples have been examined using combined Rutherford backscattering and channeling experiments together with transmission electron microscopy observations. The surface structure of the implanted wafers has been measured by atomic force microscopy. The results for the implantation-induced roughness at the Si surface, resulting from Al+ or Al2 + irradiation at the same energy/atom, total atomic fluence, flux rate, and irradiation temperature, are presented and discussed. Received: 19 August 1999 / Accepted: 20 October 1999 / Published online: 23 February 2000  相似文献   

9.
(001)-oriented strontium bismuth tantalate thin films have been grown on Pt/TiO2/SiO2/Si (100) substrates by pulsed laser deposition. The room-temperature current–electric field dependence of the films has been investigated, which revealed a space-charge-limited conduction mechanism. The microstructures of grain boundaries and structural defects in these films were also examined by transmission electron microscopy and high-resolution transmission electron microscopy, respectively. The grains of the films deposited at 550 °C exhibited polyhedral morphologies, and the average grain size was about 50 nm in length and 35 nm in width. At a small misorientation angle (8.2°) tilt boundary, a regular array of edge dislocations with about 3-nm periodic distance was observed, and localized strain contrast near the dislocation cores was also observed. The Burgers vector b of the edge dislocation was determined to be [110]. At a high misorientation angle (39.0°) tilt grain boundary lattice strain contrast associated with the distortion of lattice planes was observed, and the mismatching lattice images occurred at about 2 nm along the boundary. The relationship between microstructural defects at grain boundaries and leakage currents of these films is also discussed. Received: 8 September 2000 / Accepted: 18 December 2000 / Published online: 28 February 2001  相似文献   

10.
The effect of gamma irradiation on the interface states of ion-implanted MOS structures is studied by means of the thermally stimulated charge method. 10-keV oxygen- or boron- (O+ or B+) implanted samples are gamma-irradiated with 60Co. Gamma irradiation creates electron levels at the SiSiO2 interface of the samples in a different way depending on the type of the previously implanted atoms (O+ or B+). The results demonstrate that the concentration of the shallower levels (in the silicon band gap) of oxygen-implanted samples increases more effectively after gamma irradiation. The same irradiation conditions increase more intensively the concentration of the deeper levels (in the silicon band gap) of boron-implanted samples. Received: 17 June 2002 / Accepted: 31 August 2002 / Published online: 8 January 2003 RID="*" ID="*"Corresponding author. Fax: +359-2/975-3236, E-mail: kaschiev@issp.bas.bg  相似文献   

11.
Oxygen and hydrogen accumulations at buried implantation-damage layers were studied after post-implant-ation annealing of hydrogen- and helium-implanted Czochralski (Cz) silicon. Hydrogen implantation was carried out at energies E=180 keV and doses D=2.7×1016 cm-2, and helium implantation at E=300 keV and D=1016 cm-2. For comparison hydrogen implantation was also done into float-zone (Fz) silicon wafers. Post-implantation annealing at 1000 °C was done either in H2 or N2 atmosphere. Hydrogen and oxygen concentration profiles were measured by secondary ion mass spectroscopy (SIMS). It is shown that the ambient during annealing plays a significant role for the gettering of oxygen at buried implantation-damage layers in Cz Si. For both hydrogen and helium implantations, the buried defect layers act as rather effective getter centers for oxygen and hydrogen at appropriate conditions. The more efficient gettering of oxygen during post-implantation annealing in a hydrogen ambient can be attributed to a hydrogen-enhanced diffusion of oxygen towards the buried implantation-damage layers, where a fast oxygen accumulation occurs. Oxygen concentrations well above 1019 cm-3 can be obtained. From the comparison of measurements on hydrogen-implanted Cz Si and Fz Si one can conclude that at the buried defect layers hydrogen is most probably trapped by voids and/or may be stable as immobile molecular hydrogen species. Therefore hydrogen accumulated at the defect layers, and is preserved even after high-temperature annealing at 1000 °C. Received: 3 July 2000 / Accepted: 11 July 2000 / Published online: 22 November 2000  相似文献   

12.
We demonstrate the advantages of using high levels of rhodium (2000–3200 ppm) to dope barium titanate for achieving finite absorption coefficients (0.36 cm-1), high two-beam coupling gain (11.5 cm-1), and acceptable response time (7 s) at 1.06 μm. We also report on the mass spectroscopy measurements on Rh:BaTiO3 samples indicating a small segregation coefficient for rhodium (below 0.01) and the presence of a relatively large concentration (6000 ppm) of unintentionally added strontium. Received: 20 November 1998 / Revised version: 25 January 1999 / Published online: 12 April 1999  相似文献   

13.
The excitation mechanism of rare-earth ions in silicon nanocrystals   总被引:2,自引:0,他引:2  
A detailed investigation on the excitation mechanisms of rare-earth (RE) ions introduced in Si nanocrystals (nc) is reported. Silicon nanocrystals were produced by high-dose 80-keV Si implantation in thermally grown SiO2 followed by 1100 °C annealing for 1 h. Subsequently some of the samples were implanted by 300-keV Er, Yb, Nd, or Tm at doses in the range 2×1012–3×1015 /cm2. The energy was chosen in such a way to locate the RE ions at the same depth where nanocrystals are. Finally an annealing at 900 °C for 5 min was performed in order to eliminate the implantation damage. These samples show intense room-temperature luminescence due to internal 4f shell transitions within the RE ions. For instance, luminescence at 1.54 μm and 0.98 μm is observed in Er-doped nc, at 0.98 μm in Yb-doped nc, at 0.92 μm in nc and two lines at 0.78 μm and 1.65 μm in Tm-doped nc. Furthermore, these signals are much more intense than those observed when RE ions are introduced in pure SiO2 in the absence of nanocrystals, demonstrating the important role of nanocrystals in efficiently exciting the REs. It is shown that the intense nc-related luminescence at around 0.85 μm decreases with increasing RE concentration and the energy is preferentially transferred from excitons in the nc to the RE ions which, subsequently, emit radiatively. The exact mechanism of energy transfer has been studied in detail by excitation spectroscopy measurements and time-resolved photoluminescence. On the basis of the obtained results a plausible phenomenological model for the energy transfer mechanism emerges. The pumping laser generates excitons within the Si nanocrystals. Excitons confined in the nc can either give their energy to an intrinsic luminescent center emitting at around 0.85 μm nor pass this energy to the RE 4f shell, thus exciting the ion. The shape of the luminescence spectra suggests that excited rare-earth ions are not incorporated within the nanocrystals and the energy is transferred at a distance while they are embedded within SiO2. Rare-earth excitation can quantitatively be described by an effective cross section σeff taking into account all the intermediate steps leading to excitation. We have directly measured σeff for Er in Si nc obtaining a value of ≈2×10−17 cm2. This value is much higher than the cross section for excitation through direct photon absorption (8×10−21 cm2) demonstrating that this process is extremely efficient. Furthermore, the non-radiative decay processes typically limiting rare-earth luminescence in Si (namely back-transfer and Auger) are demonstrated to be absent in Si nc further improving the overall efficiency of the process. These data are reported and their implications. Received: 9 April 1999 / Accepted: 10 April 1999 / Published online: 2 June 1999  相似文献   

14.
An effective compliant substrate for Si1-xGex growth is presented. A silicon-on-insulator substrate was implanted with B and O forming 20 wt % borosilicate glass within the SiO2. The addition of the borosilicate glass to the buried oxide acted to reduce the viscosity at the growth temperature of Si1-xGex, promoting the in situ elastic deformation of the thin Si (∼20 nm) layer on the insulator. The sharing of the misfit between the Si and the Si1-xGex layers was observed and quantified by double-axis X-ray diffraction. In addition, the material quality was assessed using cross-sectional transmission electron microscopy, photoluminescence and etch pit density measurements. No misfit dislocations were observed in the partially relaxed 150-nm Si0.75Ge0.25 sample as-grown on a 20% borosilicate glass substrate. The threading dislocation density was estimated at 2×104 cm-2 for 500-nm Si0.75Ge0.25 grown on the 20% borosilicate glass substrate. This method may be used to prepare compliant substrates for the growth of low-dislocation relaxed SiGe layers. Received: 4 January 2001 / Accepted: 30 May 2001 / Published online: 17 October 2001  相似文献   

15.
2 O3 and nanocrystalline Al2O3 specimens. The short-lifetime (170±20 ps), intermediate-lifetime (410±20 ps) and long-lifetime components correspond to three different kinds of defects: monovacancy-like free volumes, microvoids, and larger voids. The appearance of lifetimes in the range 1–5 ns indicates the formation of positronium. The influence of thermal annealing from 873 K to 1373 K on positron lifetime parameters was also analyzed. The components with lifetimes τ1=170 ps and τ2=410 ps persisted even after the grains had grown to 100 nm in size, while the long-lifetime component declined significantly when grain sizes exceeded 10 nm. The interface characteristics of polycrystalline nano-Al2O3 prepared by the two methods were compared by analyzing the variations of the positron-lifetime parameters with grain growth. Received: 1 April 1997/Accepted: 13 August 1997  相似文献   

16.
2 and Si lattices at 380 °C, which was defined as zero-mismatch temperature. The implantation was conducted with a metal vapor vacuum arc (MEVVA) ion implanter at an extraction voltage of 45 kV. Based on a thermal conduction estimation, a temperature rise of 380 °C required the Ni-ion current density to be 35 μA/cm2. For the Si(111) wafers, the high conducting NiSi2 layers were indeed directly formed after Ni-ion implantation with this specific current density to a normal dose of 2×1017 ions/cm2 and the resistivity was as low as 9 μΩ cm. For the Si(111) wafers pre-covered with a 10-nm Ni overlayer, the resistivity of the NiSi2 layers obtained under the same conditions decreased down to about 6 μΩ cm. The superior electrical property of the NiSi2 was thought to be related to its formation temperature, i.e. at a zero-mismatch temperature of 380 °C, which resulted in minimizing the stress and stress-induced defects involved in its formation as well as cooling process. Received: 27 April 1998 / Accepted: 26 October 1998  相似文献   

17.
Effects of NaN3 added in Fe-C system to synthesize nitric diamond at high pressure and high temperature are investigated. Diamond crystals with high nitrogen concentration are synthesized by the system of Fe-C and NaN3 additive at pressure 5.8 GPa and at temperatures 1750-1780 K for 15 min. The synthetic diamond crystals have a cubo-octahedral or octahedral shape with yellowish green or green colour. Some disfigurements are observed on the surfaces of most diamond crystals. The composition and content of inclusions formed by iron in diamond are changed and iron nitride is detected in diamond crystals synthesized with Fe-C-NaN3 additive. As the amount of NaN3 additive increases, Fe3C decreases and iron nitride increases with α-Fe being nearly constant. Moreover, the nitrogen concentrations in diamond crystals synthesized with 1.5 wt% NaN3 additive is up to 2250ppm in substitutional form.  相似文献   

18.
Applied Physics A - Silicide formation and ion beam mixing of Fe/Si bilayers due to Ar-, Xe- and Au-ion irradiations at room and liquid-nitrogen temperatures were investigated. For the study of...  相似文献   

19.
Very rich nitrogen concentration with the dominant C centres and some A centres are found in diamonds grown from a Fe90Ni10-C-high-content NaN3 additive system. The concentrations of C centres rapidly increase with increasing content of NaN3 additive, while the concentrations of A centres increase slowly. The total nitrogen concentration tends to increase rapidly with increasing content of NaN3 additive when the content of NaN3 is below 0.7 wt%. However, the total concentration of nitrogen in the diamonds increases slowly when the content of NaN3 is further increased up to 1.0 wt%, and the total nitrogen average concentration are calculated to be around 2230ppm for most of the analysed synthetic diamonds. Eurthermore, the nitrogen impurities in different crystal sectors of the diamonds are inhomogeneously distributed. The nitrogen impurities in the diamonds in [111] zones are incorporated more easily than that in [100].  相似文献   

20.
Defect engineering for SiO2 precipitation is investigated using He-ion implantation as the first stage of separation by implanted oxygen (SIMOX). Cavities axe created in Si by implantation with helium ions. After thermal annealing at different temperatures, the sample is implanted with 120 keV 8.0 ×1016 cm 2 0 ions. The Q ion energy is chosen such that the peak of the concentration distribution is centred at the cavity band. For comparison, another sample is implanted with O ions alone. Cross-sectionM transmission electron microscopy (XTEM), Fourier transform infrared absorbance spectrometry (FTIR) and atomic force microscopy (AFM) measurements are used to investigate the samples. The results show that a narrow nano-cavity layer is found to be excellent nucleation sites that effectively assisted SiO2 formation and released crystal lattice strain associated with silicon oxidation.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号