首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
Controlled structuring of surfaces is interesting for a wide variety of areas, including microelectronic device fabrication, optical devices, bio(sensing), (electro‐, photo)catalysis, batteries, solar cells, fuel cells, and sorption. A unique feature of atomic layer deposition (ALD) is the possibility to form conformal uniform coatings on arbitrarily shaped materials with controlled atomic‐scale thickness. In this Minireview, we discuss the potential of ALD for the nanoscale structuring of surfaces, highlighting its versatile application to structuring both planar substrates and powder materials. Recent progress in the application of ALD to porous substrates has even made the nanoscale structuring of high‐surface‐area materials now feasible, thereby enabling novel applications, such as those in the fields of catalysis and alternative energy.  相似文献   

2.
The superlative electronic properties of graphene suggest its use as the foundation of next generation integrated circuits. However, this application requires precise control of the interface between graphene and other materials, especially the metal oxides that are commonly used as gate dielectrics. Towards that end, organic seeding layers have been empirically shown to seed ultrathin dielectric growth on graphene via atomic layer deposition (ALD), although the underlying chemical mechanisms and structural details of the molecule/dielectric interface remain unknown. Here, confocal resonance Raman spectroscopy is employed to quantify the structure and chemistry of monolayers of 3,4,9,10-perylenetetracarboxylic dianhydride (PTCDA) on graphene before and after deposition of alumina with the ALD precursors trimethyl aluminum (TMA) and water. Photoluminescence measurements provide further insight into the details of the growth mechanism, including the transition between layer-by-layer growth and island formation. Overall, these results reveal that PTCDA is not consumed during ALD, thereby preserving a well-defined and passivating organic interface between graphene and deposited dielectric thin films.  相似文献   

3.
Novel nonfluorinated Cu(diketiminate)L complexes with L = neutral olefinic ligand have been prepared as stable, volatile Cu(I) precursors for the deposition of copper films by an atomic layer deposition (ALD) process. Among them, the complexes of 4-a and 5-a are the most volatile and stable at low temperature (55 degrees C). A clean, conformal copper film was deposited at 120 degrees C in an ALD process. These Cu(I) complexes are the first examples of nonfluorinated copper(I) diketiminates that can be readily applied to an industrial microelectronic fabrication process.  相似文献   

4.
Atomic layer deposition (ALD) appears to be uniquely suited for coating substrates with ultrahigh aspect ratios (> or similar 10(3)), including nanoporous solids. Here, we study the ALD of Cu and Cu3N on the inner surfaces of low-density nanoporous silica aerogel monoliths. Results show that Cu depth profiles in nanoporous monoliths are limited not only by Knudsen diffusion of heavier precursor molecules into the pores, as currently believed, but also by other processes such as the interaction of precursor and reaction product molecules with pore walls. Similar behavior has also been observed for Fe, Ru, and Pt ALD on aerogels. On the basis of these results, we discuss design rules for ALD precursors specifically geared for coating nanoporous solids.  相似文献   

5.
As atomic layer deposition (ALD) emerges as a method to fabricate architectures with atomic precision, emphasis is placed on understanding surface reactions and nucleation mechanisms. ALD of titanium dioxide with TiCl4 and water has been used to investigate deposition processes in general, but the effect of surface termination on the initial TiO2 nucleation lacks needed mechanistic insights. This work examines the adsorption of TiCl4 on Cl−, H−, and HO− terminated Si(100) and Si(111) surfaces to elucidate the general role of different surface structures and defect types in manipulating surface reactivity of growth and non-growth substrates. The surface sites and their role in the initial stages of deposition are examined by X-ray photoelectron spectroscopy (XPS) and atomic force microscopy (AFM). Density functional theory (DFT) computations of the local functionalized silicon surfaces suggest oxygen-containing defects are primary drivers of selectivity loss on these surfaces.  相似文献   

6.
Growth initiation and film nucleation in atomic layer deposition (ALD) is important for controlling interface composition and achieving atomic-scale films with well-defined composition. Ruthenium ALD is studied here using ruthenocene and oxygen as reactants, and growth initiation and nucleation are characterized on several different growth surfaces, including SiO2, HfO2, and hydrogen terminated silicon, using on-line Auger electron spectroscopy and ex-situ X-ray photoelectron spectroscopy. The time needed to reach the full growth rate (typically approximately 1 A per deposition cycle) is found to increase as the surface energy of the starting surface (determined from contact angle measurements) decreased. Growth starts more readily on HfO2 than on SiO2 or Si-H surfaces, and Auger analysis indicates distinct differences in surface reactions on the various surfaces during film nucleation. Specifically, surface oxygen is consumed during ruthenocene exposure, so the nucleation rate will depend on the availability of oxygen and the energetics of surface oxygen bonding on the starting substrate surface.  相似文献   

7.
An alumina surface coating is demonstrated to improve electrochemical performance of MoO3 nanoparticles as high capacity/high‐volume expansion anodes for Li‐ion batteries. Thin, conformal surface coatings were grown using atomic layer deposition (ALD) that relies on self‐limiting surface reactions. ALD coatings were tested on both individual nanoparticles and prefabricated electrodes containing conductive additive and binder. The coated and non‐coated materials were characterized using transmission electron microscopy, energy‐dispersive X‐ray spectroscopy, electrochemical impedance spectroscopy, and galvanostatic charge/discharge cycling. Importantly, increased stability and capacity retention was only observed when the fully fabricated electrode was coated. The alumina layer both improves the adhesion of the entire electrode, during volume expansion/contraction and protects the nanoparticle surfaces. Coating the entire electrode also allows for an important carbothermal reduction process that occurs during electrode pre‐heat treatment. ALD is thus demonstrated as a novel and necessary method that may be employed to coat the tortuous network of a battery electrode.  相似文献   

8.
The adhesion of copper films to adjacent device layers including TiN, Ta, and TaN diffusion barriers is a crucial reliability issue for integrated circuits. We report that ultrathin layers of poly(acrylic acid) (PAA) prepared on barrier surfaces or on the native oxide of Si wafers dramatically increase the interfacial adhesion of Cu films deposited by the H2 assisted reduction of bis(2,2,7-trimethyloctane-3,5-dionato)copper in supercritical carbon dioxide. Similar improvements were achieved on Si wafers using a simple vapor phase exposure of the substrate to acrylic acid prior to metallization. The deposited films and the substrate/Cu interfaces were analyzed by X-ray photoelectron spectroscopy (XPS), electron microscopy, atomic force microscopy, and variable-angle spectroscopic ellipsometry. No trace of the adhesion layer was detected at the interface, indicating it was sacrificial at the deposition conditions used. Moreover, the presence and subsequent decomposition of the PAA layer during deposition substantially reduced or eliminated metal oxides at the substrate interface. For depositions on PAA-treated Si wafers, copper was present primarily as Cu0 at the interface and Si was present only as Si0. On PAA-treated Ta substrates, XPS analysis indicated Ta was present primarily as Ta0 at the metallized interface whereas Ta2O5 dominated the interface of samples prepared without the adhesion layers. The technique can be extended to patterned substrates using adsorption of acrylic acid or thermal/UV polymerization of acrylic acid.  相似文献   

9.
This paper concerns the electrochemical growth of compound semiconductor thin film superlattice structures using electrochemical atomic layer deposition (ALD). Electrochemical ALD is the electrochemical analogue of atomic layer epitaxy (ALE) and ALD, methods based on nanofilm formation an atomic layer at a time, using surface-limited reactions. Underpotential deposition (UPD) is a type of electrochemical surfaced-limited reaction used in the present studies for the formation of PbSe/PbTe superlattices via electrochemical ALD. PbSe/PbTe thin-film superlattices with modulation wavelengths (periods) of 4.2 and 7.0 nm are reported here. These films were characterized using electron probe microanalysis, X- ray diffraction, atomic force microscopy (AFM), and infrared reflection absorption measurements. The 4.2 nm period superlattice was grown after deposition of 10 PbSe cycles, as a prelayer, resulting in an overall composition of PbSe0.52Te0.48. The 7.0 nm period superlattice was grown after deposition of 100 PbTe cycle prelayer, resulting for an overall composition of PbSe0.44Te0.56. The primary Bragg diffraction peak position, 2theta, for the 4.2 superlattice was consistent with the average (111) angles for PbSe and PbTe. First-order satellite peaks, as well as a second, were observed, indicating a high-quality superlattice film. For the 7.0 nm superlattice, Bragg peaks for both the (200) and (111) planes of the PbSe/PbTe superlattice were observed, with satellite peaks shifted 1 degrees closer to the (111), consistent with the larger period of the superlattice. AFM suggested conformal superlattice growth on the Au on glass substrate. Band gaps for the 4.2 and 7.0 nm period superlattices were measured as 0.48 and 0.38 eV, respectively.  相似文献   

10.
Manganese oxide (MnOx) shows great potential in the areas of nano-electronics, magnetic devices and so on. Since the characteristics of precise thickness control at the atomic level and self-align lateral patterning, area-selective deposition (ASD) of the MnOx films can be used in some key steps of nanomanufacturing. In this work, MnOx films are deposited on Pt, Cu and SiO2 substrates using Mn(EtCp)2 and H2O over a temperature range of 80–215 °C. Inherently area-selective atomic layer deposition (ALD) of MnOx is successfully achieved on metal/SiO2 patterns. The selectivity improves with increasing deposition temperature within the ALD window. Moreover, it is demonstrated that with the decrease of electronegativity differences between M (M = Si, Cu and Pt) and O, the chemisorption energy barrier decreases, which affects the initial nucleation rate. The inherent ASD aroused by the electronegativity differences shows a possible method for further development and prediction of ASD processes.  相似文献   

11.
On a porous substrate, regular atomic layer deposition (ALD) not only takes place on top of the substrate but also penetrates into the internal porosity. Here we report a plasma-assisted process in which the ALD precursors are chosen to be nonreactive unless triggered by plasma, so that ALD can be spatially defined by the supply of plasma irradiation. Since plasma cannot penetrate within the internal porosity, ALD has been successfully confined to the immediate surface. This not only gives a possible solution for sealing of porous low dielectric constant films with a conformal layer of nm-scale thickness but also enables us to progressively reduce the pore size of mesoporous materials in a sub-A/cycle fashion for membrane formation.  相似文献   

12.
We report a new layer-by-layer growth method of self-assembled organic multilayer thin films based on gas-phase reactions. In the present molecular layer deposition (MLD) process, alkylsiloxane self-assembled multilayers (SAMs) were grown under vacuum by repeated sequential adsorptions of C=C-terminated alkylsilane and titanium hydroxide. The MLD method is a self- limiting layer-by-layer growth process, and is perfectly compatible with the atomic layer deposition (ALD) method. The SAMs films prepared exhibited good thermal and mechanical stability, and various unique electrical properties. The MLD method, combined with ALD, was applied to the preparation of organic-inorganic hybrid nanolaminate films in the ALD chamber. The organic-inorganic hybrid superlattices were then used as active mediums for two-terminal electrical bistable devices. The advantages of the MLD method with ALD include accurate control of film thickness, large-scale uniformity, highly conformal layering, sharp interfaces, and a vast library of possible materials. The MLD method with ALD is an ideal fabrication technique for various organic-inorganic hybrid superlattices.  相似文献   

13.
We present theoretical studies based on first-principles density functional theory calculations for the possible gas-phase mechanism of the atomic layer deposition (ALD) of copper by transmetalation from common precursors such as Cu(acac)(2), Cu(hfac)(2), Cu(PyrIm(R))(2) with R = (i)Pr and Et, Cu(dmap)(2), and CuCl(2) where diethylzinc acts as the reducing agent. An effect on the geometry and reactivity of the precursors due to differences in electronegativity, steric hindrance, and conjugation present in the ligands was observed. Three reaction types, namely, disproportionation, ligand exchange, and reductive elimination, were considered that together comprise the mechanism for the formation of copper in its metallic state starting from the precursors. A parallel pathway for the formation of zinc in its metallic form was also considered. The model Cu(I) molecule Cu(2)L(2) was studied, as Cu(I) intermediates at the surface play an important role in copper deposition. Through our study, we found that accumulation of an LZnEt intermediate results in zinc contamination by the formation of either Zn(2)L(2) or metallic zinc. Ligand exchange between Cu(II) and Zn(II) should proceed through a Cu(I) intermediate, as otherwise, it would lead to a stable copper molecule rather than copper metal. Volatile ZnL(2) favors the ALD reaction, as it carries the reaction forward.  相似文献   

14.
This article concerns the growth of an atomic layer of Pb on the Au(111)( radical3 x radical3)R30 degrees -I structure. The importance of this study lies in the use of Pb underpotential deposition (UPD) as a sacrificial layer in surface-limited redox replacement (SLRR). SLRR reactions are being applied in the formation of metal nanofilms via electrochemical atomic layer deposition (ALD). Pb UPD is a surface-limited reaction, and if it is placed in a solution of ions of a more noble metal, redox replacement can occur, but limited by the amount of Pb present. Pb UPD is a candidate for use as a sacrificial layer for replacement by any more noble element. It has been used by this group for both Cu and Pt nanofilm formation using electrochemical ALD. The I atom layer was intended to facilitate electrochemical annealing during nanofilm growth. Two distinctly different Pb atomic layer structures are reported, studied using in situ scanning tunneling microscopy (STM) with an electrochemical flow cell and ultrahigh vacuum surface analysis combined directly with electrochemical reactions (UHV-EC). Starting with the initial Au(111)( radical3 x radical3)R30 degrees -I, 1/3 monolayer of I on the Au(111) surface, Pb deposition began at approximately 0.1 V. The first Pb UPD structure was observed just below -0.2 V and displayed a (2 x radical3)-rect unit cell, for a structure composed of 1/4 monolayer each of Pb and I. The I atoms fit in Pb 4-fold sites, on the Au(111) surface. The structure was present in domains rotated by 120 degrees. Deposition to -0.4 V resulted in complete loss of the I atoms and formation of a Pb monolayer on the Au(111), which produced a Moiré pattern, due to the Pb and Au lattice mismatch. These structures represent two well-defined starting points for the growth of nanofilms of other more noble elements. It is apparent from these studies that the adsorption of I- on Pb is weak, and it will rinse away. If Pb is used as a sacrificial metal in an electrochemical ALD cycle and adsorbed I atoms are employed for electrochemical annealing, I atoms will need to be applied each cycle.  相似文献   

15.
The heterogenization of homogeneous metal complex catalysts has attracted great attention. The encapsulation of metal complexes into nanochannels of mesoporous materials is achieved by coating metal oxides at/near the pore entrance by diffusion‐limited atomic layer deposition (ALD) to produce a hollow plug. The pore size of the hollow plug is precisely controlled on the sub‐nanometer scale by the number of ALD cycles to fit various metal complexes with different molecular sizes. Typically, Co or Ti complexes are successfully encapsulated into the nanochannels of SBA‐15, SBA‐16, and MCM‐41. The encapsulated Co and Ti catalysts show excellent catalytic activity and reusability in the hydrolytic kinetic resolution of epoxides and asymmetric cyanosilylation of carbonyl compounds, respectively. This ALD‐assisted encapsulation method can be extended to the encapsulation of other homogeneous catalysts into different mesoporous materials for various heterogeneous reactions.  相似文献   

16.
The combined atomic and molecular layer deposition (ALD/MLD) technique offers a unique way to build—both known and previously unknown—crystalline coordination polymer materials directly from gaseous precursors in a high-quality thin-film form. Here, we demonstrate the ALD/MLD of crystalline Li-, Na-, and K-based 3,5-pyridinedicarboxylate (3,5-PDC) thin films; the Li2-3,5-PDC films are of the known Li-ULMOF-4 crystal structure whereas the other as-deposited crystalline films possess structures not previously reported. Another exciting possibility offered by ALD/MLD is the deposition of well-defined but amorphous metal–organic thin films, such as our Mg-, Ca-, Sr-, and Ba-based 3,5-PDC films, which can then be crystallized into water-containing structures through a post-deposition humidity treatment. All together, the new metal–organic structures realized in this study through ALD/MLD comprise a majority of the (anhydrous and water-containing) members of the s-block metal 3,5-pyridinedicarboxylate family.  相似文献   

17.
Li Z  Barry ST  Gordon RG 《Inorganic chemistry》2005,44(6):1728-1735
A series of copper(I) amidinates of the general type [(R'NC(R)NR')Cu](2) (R' and R' = n-propyl, isopropyl, n-butyl, isobutyl, sec-butyl, tert-butyl; R = methyl, n-butyl) have been synthesized and characterized. These compounds are planar dimers, bridged by nearly linear N-Cu-N bonds. Their properties (volatility, low melting point, high thermal stability, and self-limited surface reactivity) are well-suited for atomic layer deposition (ALD) of copper metal films that are pure, highly conductive, conformal, and strongly adherent to substrates.  相似文献   

18.
A single atomic layer of tungsten grown by atomic layer deposition (ALD) on a single-crystal rutile TiO2(110) support is studied by the X-ray standing wave (XSW) technique. The surface structural and chemical properties were also examined using atomic force microscopy, X-ray photoelectron spectroscopy, and low-energy electron diffraction. The XSW measured set of hkl Fourier components for the W atomic distribution function are summed together to produce a model-independent 3D map of the W atoms relative to the rutile lattice. The 3D atomic image shows surface tungsten atoms equally occupying the two nonequivalent Ti sites with a slight outward displacement. This corresponds to the atop and bridge sites with respect to the underlying lattice oxygen atoms. These XSW measurements clearly show that ALD conformal layers can be highly coherent with respect to the substrate lattice.  相似文献   

19.
We report the use of atomic layer deposition (ALD) coating as a nanobiosensor functionalization strategy for enhanced surface immobilization that may enable higher detection sensitivity. Three kinds of ALD coating films, Al(2)O(3), TiO(2), and SiO(2), were grown on the gallium nitride nanowire (GaN NW) surfaces and characterized with high-resolution transmission electron microscopy (HRTEM) and vacuum Fourier transform infrared spectroscopy (FTIR). Results from HRTEM showed that the thicknesses of ALD-Al(2)O(3), ALD-TiO(2) and ALD-SiO(2) coatings were 4-5 nm, 5-6 nm, and 12-14 nm, respectively. Results from FTIR showed that the OH contents of these coatings were, respectively, ~6.9, ~7.4, and ~9.3 times that of piranha-treated GaN NW. Furthermore, to compare protein attachments on the different surfaces, poly(ethylene glycol) (PEG)-biotin was grafted on the OH-functionalized GaN NW surfaces through active Si-Cl functional groups. Streptavidin protein molecules were then attached to the biotin ends via specific binding. The immobilized streptavidin molecules were examined with scanning electron microscopy, HRTEM, and fluorescent imaging. Results from HRTEM and energy-dispersive X-ray revealed that the nitrogen concentrations on the three ALD coatings were significantly higher than that on the piranha-treated surface. Results from fluorescent imaging further showed that the protein attachments on the Al(2)O(3), TiO(2), and SiO(2) ALD coatings were, respectively, 6.4, 7.8, and 9.8 times that of piranha-treated surface. This study demonstrates that ALD coating can be used as a functionalization strategy for nanobiosensors because it is capable of creating functional groups with much higher density compared to widely used acid modifications, and among the three ALD coatings, ALD-SiO(2) yielded the most promising results in OH content and protein attachment.  相似文献   

20.
Thin films of organic-inorganic hybrid materials have been grown by the atomic layer deposition (ALD) technique, using trimethylaluminium (TMA) and aromatic carboxylic acids such as 1,2-benzene dicarboxylic acid, 1,3-benzene dicarboxylic acid, 1,4-benzene dicarboxylic acid, 1,3,5-benzene tricarboxylic acid, 1,2,4,5-benzene tetracarboxylic acid as precursors. Growth rates as function of temperature show that all systems, with the exception of the benzoic acid-TMA system, possess ALD-windows and provides growth rates in the range of 0.25-1.34 nm/cycle. X-ray diffraction studies of the as-deposited films reveal their amorphous character, which is also supported by very low surface roughness as measured by atomic force microscopy. As-deposited films were investigated by Fourier Transform Infrared Spectroscopy proving that the deposited films are of a hybrid character.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号