首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 312 毫秒
1.
采用强流脉冲离子束(High-intensitypulsedionbeam,HIPIB)烧蚀技术在Si(100)基体上沉积类金刚石(Diamond-likecarbon,DLC)薄膜,衬底温度的变化范围为298~673K.利用Raman光谱和X射线光电子谱(XPS)对DLC薄膜的化学结合状态与衬底温度之间关系进行研究.薄膜XPS的C1s谱的解谱分析得出薄膜中含有sp3C(结合能为285.5eV)和sp2C(结合能为284.7eV)成分,根据解谱结果评价薄膜中sp3C含量.根据XPS分析可知,衬底温度低于473K时,sp3C的含量大约为40%左右;随着沉积薄膜时衬底温度的提高,sp3C的含量降低,由298K时的42.5%降到673K时的8.1%,从573K开始发生sp3C向sp2C转变.Raman光谱表明,随着衬底温度的提高,Raman谱中G峰的峰位靠近石墨峰位,G峰的半峰宽降低,D峰与G峰的强度比ID/IG增大,说明薄膜中的sp3C的含量随衬底温度增加而减少.  相似文献   

2.
The pre-treatment of substrate surface had been a key part of DLC film preparation to improve mechanical and tribological properties. Ti plasma etching pre-treatment was investigated in this paper as a new effective surface pre-treatment method to substitute transition layer. This pre-treatment used high-energy Ti plasma to impact substrate surface. Ti plasma etched the substrate to a depth of 407 nm and increased the roughness from 1.36 to 40.39 nm. A trace layer of substrate, together with cobalt, oxides, and other impurities, was removed. Ti plasma broke some top WC crystals and combined with the free carbon ions separating from the substrate. A DLC film was deposited on the etched surface. Compared with DLC films deposited on the untreated substrate and Ti transition layer, the DLC film on the Ti plasma etched substrate had best adhesion strength of 34.14 N. The three DLC films had the same sp3 bonding carbon content, but Ti plasma etching treatment could promote the formation of sp3 bonds on the interface of substrate and DLC film. This DLC film had low friction coefficient of 0.12 and low wear rate of 5.11 × 10−7 mm3/m·N. In summary, Ti plasma etching pre-treatment could significantly improve the adhesion of DLC film and keep its excellent tribological properties.  相似文献   

3.
The electrochemical deposition of carbon films on a nickel substrate was carried out through anodic oxidation of calcium acetylide dissolved in a LiCl–KCl–CaCl2 melt at 823 K. Continuous and tenacious carbon films were prepared by a two-stage anodically potentiostatic deposition at a fast rate, and characterized by SEM, Raman spectroscopy, XRD and XPS. The results show the carbon films composed of micron-sized particles with graphitized and amorphous phases containing a mixture of sp3 and sp2 carbon. The cyclic voltammetry behavior of acetylide anion on graphite and nickel electrodes indicated that C22  ions are oxidized more favorably on the nickel substrate due to the anodic depolarization from nickel carburization.  相似文献   

4.
Plasma enhanced chemical vapor deposition (PECVD) technique using pulsed-DC power supply was used to fabricate diamond like carbon (DLC) films at deposition rates as high as 110 nm/min. The DLC films deposited by pulsed-DC and DC based power supplies under different gas flow ratios were studied for their suitability as dielectric layer coatings in plasma display panels (PDPs). The effect of deposition parameters on the properties of the DLC films were studied using Fourier transform infra-red spectroscopy (FTIR) and spectroscopic ellipsometry (SE). FTIR reveals that higher hydrogen dilution in gas mixture leads to higher sp3 content. SE studies in wide spectral range were analyzed using Tauc-Lorentz model dielectric function. A rise in the extracted refractive index was seen on increasing the H2 content in the feed gas, thus resulting in optically denser films. Secondary electron emission coefficient (γ) was measured in the films deposited by the DC and pulsed-DC based PECVD. Firing voltage in the DLC samples was found to have very low variation in the operating pressure range used in commercial PDPs, suggesting possibility of enhanced long term reliability of DLC coatings in future PDP applications.  相似文献   

5.
Diamond-like carbon (DLC) films have been deposited by anodic oxidation of 4 M solution of lithium acetylide in dimethylsulfoxide on the surface of stainless steel or nickel electrode at room temperature and moderate anodic current densities (0.2–2.0 mA/cm2) in the range of electrode potentials 0.3–2.5 V (vs. sat. Ag|AgCl reference electrode). Electrodeposited DLC coatings represented complete and optically transparent films of a thickness 50–100 nm having dark island inclusions with a diameter 0.8–5.0 μm. The concentration and average size of these particles increased with the prolongation of deposition time. Micro-Raman spectra obtained by the focusing of laser beam onto these dark inclusions are characterized by a broad peak centered at 1500 cm−1 and weak peak at 1200 cm−1. With a defocused laser beam, there appear two well-distinguished peaks on the integrated Raman spectra – at 1530 and 1130 cm−1. Analysis of Raman spectra with the use of a Breit–Wigner–Fano lineshape and spectrum deconvolution indicates that the electrodeposited films consist of diamond-like nanostructured carbon with a high content (70–80%) of sp3 phase.  相似文献   

6.
Raman characterization of amorphous carbon films   总被引:3,自引:0,他引:3  
Amorphous carbon films, deposited with the LASER-ARC technique, have been characterized using Raman scattering experiments at an excitation wavelength of 633 nm provided by a He-Ne laser. To distinguish between the homogeneous amorphous film and incorporated particles area resolved measurements have been carried out due to the laser spot diameter of 1 m. Typical diamondlike (DLC) films, grown near room temperature, show a broad Raman band between 1000 cm–1 and 1800 cm–1 fitted very well by two gaussian distributions. Films deposited at higher substrate temperatures reveal more graphitic features in the spectra. The spectra of particles consists of a graphite-like portion originated from the graphitic structure of the particle and a diamond-like portion caused by the covering DLC film. The degree of disorder and diamond-likeness in the film structure is quantified by the peak position, the full width at half maximum (FWHM) and intensity relation of the fitted D- and G-peaks.  相似文献   

7.
A novel method for the electrochemical synthesis of covellite (CuS) nanoparticles (NPs) in aqueous phase was developed. In this experiment, thioglycerol (TG) is used as the catalyst for the hydrolysis of sodium thiosulfate, the sulfur source for the synthesis of CuS. Cu foil, which acts as the sacrificing anode, is oxidized to Cu2+ by applying a potential of 0.5 V while OH- was produced on the cathode surface at the same time. The production of OH- facilitates the reaction between Cu2+ and thiosulfate under the catalysis of TG. The evolution of hydrogen bubbles effectively prevents the deposition of copper sulfide on the cathode. Copper sulfide sols of “golden-brown”, and “dark-green” forms can be obtained by varying the concentration of TG. The “golden-brown” copper sulfide sols are also observed to convert to the green form with time, and the rate of this conversion process is faster at higher temperatures. X-ray diffraction (XRD) and chemical analysis indicate that the “dark-green” form of product is pure hexagonal phase CuS. The obtained CuS NPs were covered by a layer of TG as suggested by Fourier transform infrared (FTIR) data. The size and morphology of the particles are studied by transmission electron microscope (TEM).  相似文献   

8.
The structural and electronic characteristics of thin diamond-like nanocrystallites (with cross-sectional areas 5 < S < 280 Å2) were investigated by the electron density functional tight binding (DFTB) method. A new type of extended “hybrid” (sp3 + sp2) nanostructures, in the form of monolithic diamond-like sp3 crystallites encapsulated in a graphite-like sp2 shell, was discovered. __________ Translated from Teoreticheskaya i éksperimental’naya Khimiya, Vol. 42, No. 4, pp. 199–203, July–August, 2006.  相似文献   

9.
The hydrogenated amorphous carbon nitride (a‐CNx:H) thin films were synthesized on the SS‐304 substrates using a dense plasma focus device. The a‐CNx:H thin films were synthesized using CH4/N2 admixture gas and 20 focus deposition shots on substrates placed at different distances from the anode top. X‐ray photoelectron spectroscopy and Raman analysis confirmed different C–N bonding in the a‐CNx:H thin films. A decrease in the N/C ratio as well as the sp3/sp2 ratio with an increase in the substrate distance has been observed. The higher amount of C–N formation for the film synthesized at 10 cm is observed which decreases with increasing distance. The X‐ray photoelectron spectroscopy and Raman analysis affirmed the C ≡ N presence in all the thin films synthesized at different distances. The morphology of the synthesized a‐CNx:H thin films showed nanoparticles and nanoparticle clusters formation at the surface. The hardness results showed comparatively lower hardness of the a‐CNx:H thin films due to the presence of C ≡ N. The C–N formation with lower amount of C ≡ N and a higher N/C ratio as well as a higher sp3/sp2 ratio for the films synthesized at 10 cm show reasonably higher hardness. Copyright © 2016 John Wiley & Sons, Ltd.  相似文献   

10.
The photocatalytic oxidation of poisonous or nonbiodegradable organic pollutants in wastewater has been the focus of numerous environmental investiga- tions in recent years. Selecting excellent-performance photocatalytic material is very important in thes…  相似文献   

11.
Diamond‐like carbon (DLC) films on glass wafers were produced by middle frequency pulsed unbalanced magnetron sputtering technique (MFPUMST) at different sputtering current. The chemical bonding of carbon characterized by Raman spectroscopy and X‐ray photoelectron spectroscopy (XPS) show that the sp3 fraction in DLC films increases with increasing sputtering current from 100 to 300 mA, and then decreases above 300 mA. Mechanical properties like nano‐hardness and elastic recovery for these films under different sputtering currents analyzed by a nano‐indentation technique show the same tendency that nano‐hardness and elastic recovery increase with increasing sputtering current from 100 to 300 mA, and then decrease with increasing sputtering current from 300 to 400 mA. These results indicate that the sp3 fraction in the prepared DLC films is directly related to nano‐hardness and elastic recovery. The results shown above indicate that the parameter of the preparation—sputtering current has a strong influence on the bonding configuration of the deposited DLC films. The mechanism of sputtering current on the sp3 fraction is discussed in this paper. Copyright © 2009 John Wiley & Sons, Ltd.  相似文献   

12.
The influence of a post‐annealing treatment on the chemical structure of a diamondlike carbon (DLC) film was clarified by Raman spectroscopy. The DLC films were synthesized by ionized deposition. The structures were elucidated via Raman analysis in conjunction with the sp2 cluster model. The as‐prepared DLC film consisted of a dielectric matrix including sp3 carbon, where sp2 clusters were floating. When the post‐annealing treatment commenced, especially between 450 and 600°C, carbon─hydrogen bonds were cleaved, and the hydrogen atoms were desorbed from the film, creating defects or dangling bonds. The defects were reactive in growing sp2 clusters that were strained with numerous defects because of the restricted degrees of freedom in the solid. As the post‐annealing temperature further increased, the clusters became dominant and the strain was gradually dissolved.  相似文献   

13.
A method of analyzing X-ray absorption spectra of nitrogen-doped amorphous carbon (a-C) samples was developed to determine their sp2 bonding concentrations. The films under consideration are simultaneously deposited onto polytetrafluoroethylene (PTFE) polymer or silicon wafer substrates by hot wire plasma sputtering of graphite. sp2 bonding concentrations of a-C films deposited on PTFE increase from 74% to 93% with growing nitrogen doping. Silicon substrate films yield the same general trend, but show that the near surface electronic structure of a-C films depends on the substrate.  相似文献   

14.
Activation analysis and autoradiography were used to investigate the concentration distribution of contaminants in poly-Si−Si3N4−SiO2−Si substrate multilayer structures (SNOS) on sampling each technological product. Samples were irradiated for 36 hrs at a thermal neutron flux of 4·1013n·cm−2·sec−1. The thin films of the analysed sample were removed stepwise by selective chemical etching using appropriate masking techniques. Simultaneously autoradiographs were made of the surface of parallel samples activated under the same conditions. The concentration of the technological contaminants (e.g. Na, Cu, Au) increases in the junction interface of the layers as unambiguously shown by the results obtained. Presented at the “4th Symposium on the Recent Developments in Neutron Activation Analysis” Churchill College, Cambridge, 4–7 August, 1975.  相似文献   

15.
The aim of this contribution is to present the properties of the nanostructured hydrogenated carbon thin films and to study their growth carried out in a special deposition technique based on Thermionic Vacuum Arc method. The Gaseous Thermionic Vacuum Arc (G-TVA) technology is an original deposition method performed in a special configuration, consisting of a heated thermionic cathode which provides an electron beam on the anode. The surface free energy was evaluated by contact angle and their optical properties were studied by Filmetrics F20 spectrometry system. Structure of the film has been investigated by Raman spectroscopy as well as the mechanical properties like hardness, wear resistance, film-substrate adhesion. The films showed two distinct Raman characteristic peaks located at 1,350 cm−1 (D-line) and 1,550 cm−1 (G-line), broad for Si and very sharp for glass substrates. The G-TVA enables to prepare soft (hardness ~6 GPa) or hard (~24 GPa) films.  相似文献   

16.
 Compositional characterization of metal-DLC (metal-containing diamond-like carbon) hard coatings is carried out by (WDS)-EPMA and MCs+-SIMS. EPMA enables accurate (± 5% relative) quantitative analysis including minor concentrations (0.1–10 at%) of N, O and Ar. Under conditions of “near-surface” EPMA (E0 < 10 keV) the influence of surface oxide films on “pure” metal standards may be a limiting factor in respect of accuracy. Depth profiling of sufficiently “thick” layered structures (film thickness ≥ 2 μm) is carried out by EPMA-line scans along mechanically prepared bevels. The depth resolution is about 0.2 μm. SIMS in the MCs+-mode enables high resolution (< 20 nm) depth profiling of metal-DLC layered structures including the determination of H (1–20 at%). MCs+-SIMS, i.e. employing Cs+ primary ions and monitoring MCs+ molecular secondary ions (M is the element of interest) is presented as a promising route towards sufficiently accurate (10–20%) SIMS-quantification. Matrix-independent relative sensitivity factors for MCs+-SIMS are derived from homogeneous coating materials defined by EPMA. EPMA proves to be also useful to detect problems related to SIMS of Ar in metal-DLC materials. The combination EPMA-SIMS is demonstrated as an effective analytical strategy for quality control in industrial production and to support the development of metal DLC layered structures with optimum tribological properties.  相似文献   

17.
Multilayered diamond‐like carbon (DLC) nanocomposite coating has been deposited on silicon and stainless steel substrates by combination of cathodic arc evaporation and magnetron sputtering. In order to make DLC coating adhered to metal substrate, a chromium interlayer has been deposited with constant bias voltage of −150 V applied to the substrate. Dense multilayered coating consists of metallic or nonmetallic and tetrahedral carbon (ta‐C) layers with total thickness of 1.44 μm. The coating has been studied for composition, morphology, surface nature, nanohardness, corrosion resistance, and tribological properties. The composition of the coating has been estimated by energy‐dispersive spectroscopy. Field‐emission scanning electron microscopy and atomic force microscopy have been used to study the surface morphology and topography. ID/IG ratio of ta‐C:N layer obtained from Raman spectroscopy is 1.2, indicating the disorder in the layer. X‐ray photoelectron spectroscopy studies of individual ta‐C:N, CrN, and Cr‐doped DLC layers confirm the presence of sp2C, sp3C, CrN, Cr2N, and carbidic carbon, and sp2C, sp3C, and Cr carbide. Nanohardness studies show the maximum penetration depth of 70 to 85 nm. Average nanohardness of the multilayered DLC coating is found to be 35 ± 2.8 GPa, and Young's modulus is 270 GPa. The coating demonstrates superior corrosion resistance with better passivation behavior in 3.5% NaCl solution, and corrosion potential is observed to move towards nobler (more positive) values. A low coefficient of friction (0.11) at different loads is observed from reciprocating wear studies. Wear volume is lower at all loads on the multilayered DLC nanocomposite coating compared to the substrate.  相似文献   

18.
A computer simulation of the negative electrode (anode) operation in a lithium-ion battery is performed. A complete research program is carried out in accordance with the recommendations of the theory of porous electrodes: the “model of equal-sized grains of two types” was studied, percolation properties of the anode active layer were researched, values of effective coefficients were calculated for charge transfer and mass transport, a complete system of equations describing operation of the anode is presented. Two specific cases of galvanostatic mode of anode discharge are considered in detail: an “ideal” anode and anode with nanosize particles. Working anode parameters are calculated: optimum bulk concentration of graphite in the active layer, active layer thickness, time of complete anode discharge, its specific electric capacitance and final potential on the active/layer interelectrode space interface. Advisability of working with anodes with nanosize grains and electrolyte with enhanced specific conductivity is shown.  相似文献   

19.
Fullerene‐like hydrogenated carbon films were deposited on Si substrate by plasma‐enhanced chemical vapor deposition. The microstructures of films were characterized by high‐resolution transmission electron microscopy and Raman spectrum. The tribological performance of films was tested by reciprocating ball‐on‐disc tester under 1‐ethyl‐3‐methylimidazolium tetrafluoroborate ionic liquid. The surface morphology and chemical composition of wear tracks and wear rates were investigated by optical microscope, X‐ray photoelectron spectroscopy, and 3D surface profiler. The results indicated that the film with a typical fullerene‐like structure embedded into the amorphous sp2 and sp3 carbon networks could be prepared successfully, and the film shows a higher hardness (26.7 GPa) and elastic recovery (89.9%) compared with the amorphous carbon film. Furthermore, the film shows a lower friction coefficient at low contact load and friction frequency, and excellent wear‐resistance performance at high load and frequency under ionic liquid lubrication. Meanwhile, the wear life of fullerene‐like hydrogenated carbon films could be improved significantly using ionic liquid as a lubrication material. Copyright © 2015 John Wiley & Sons, Ltd.  相似文献   

20.
The tribological properties of Silicon‐containing diamond‐like‐carbon (Si‐DLC) films, deposited by magnetron sputtering Si target in methane/argon atmosphere, were studied in comparison with diamond‐like‐carbon (DLC) films. The DLC films disappeared because of the oxidation in the air at 500 °C, whereas the Si‐DLC films still remained, implying that the addition of Si improved significantly the thermal stability of DLC films. Retarded hydrogen release from DLC film at high temperature and silicon oxide on the surface might have contributed to lower friction coefficient of the Si‐DLC films both after annealing treatment and in situ high‐temperature environment. Copyright © 2012 John Wiley & Sons, Ltd.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号