首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 0 毫秒
1.
The maskless and resistless focused ion beam (FIB) fabrication approach to make imprint stamp is straightforward and rapid compared to the traditional electron beam method. FIB etched stamp consisting of grooves was employed to nanoimprint polymer mr-I 9020. Taguchi orthogonal experiment with four parameter elements, one at three levels was used to optimize the experiment parameters by the analysis of means and variances. The most significant factor influencing the height of replicated lines is imprint temperature and the optimal combination of the process parameters are the imprint temperature at 160 °C, imprint force at 1200 N, loading force velocity at 0.2 mm/min, and imprint time at 300 s.  相似文献   

2.
Nanoimprint lithographies (NIL) are very promising for NIL applications on flexible plastic films. In this paper we present some studies and developments of the imprint of polyethylene naphthalate (PEN) films. Different NIL processes are developed successfully; they correspond to the imprint of different chemical phases of the material: semicrystalline, amorphous, and melt. The thermal properties of the film are analyzed, and related to imprint results, which demonstrate that high reproducibility and uniformity are obtained. Moreover, the imprint of such flexible films is much more promising since it avoids NIL limitations commonly observed on semiconductor substrates.  相似文献   

3.
Polycrystalline diamond with optical quality has been patterned using nanoimprint lithography. Nanoimprint lithography is a rather new method for fabrication of resist structures with features sizes down to at least 20 nm. The pattern used in this article is a grating with a period of 600 nm and a fill factor of 0.5. Using plasma etching the nanoimprinted grating is etched into a freestanding diamond substrate. We have accomplished the fabrication of 300 nm diamond features with a depth of about 2 μm, which corresponds to an aspect ratio of 7.  相似文献   

4.
A novel liquid photo-polymerization resist was prepared for nanoimprint lithography on transparent flexible plastic substrates. The resist is a mixture of polymethylmethacrylate (PMMA), methylmethacrylate (MMA), methacylic acid (MAA) and two photo-initiators, (2-isopropyl thioxanthone (ITX) and ethyl 4-(dimethylamino)benzoate (EDAB)). The resist can be imprinted at room temperature with a pressure of 0.25 kg/cm2, and then exposed from the transparent substrate side using a broad band UV lamp to obtain nano- and micro-scale patterns. Replications of high-density line and space patterns with resolution of 150 nm were obtained on a flexible indium tin oxide/poly(ethylene terephthalate) (ITO/PET) substrate. The liquid resist has low viscosity due to the liquid monomers, and low shrinkage due to the addition of PMMA as a binder.  相似文献   

5.
In UV nanoimprint lithography (NIL) with elastic mould, a novel multi-step loading and demoulding process, called distortion reduction by pressure releasing (DRPR) and two-step curing method for demoulding, is developed. This novel imprint process is continuous, the pressure releasing method, used to optimize the loading process, can reduce the distortions of imprint mould and wafer stage, while obtain better cavity filling and thin and uniform residual layer; through two-step curing method instead of traditional simple demoulding, the curing degree of resist can be controlled, which is helpful to decrease the demoulding force and avoid residual layer pulled-up while ensure replicated protrusions not collapse. It is a novel and robust process with high fidelity of pattern replication in micro/nano structures fabrication, and the replication error caused by distortions and “blind” demoulding can be reduced effectively.  相似文献   

6.
Fabrication of multi-dimensional colloidal crystals on raised polymer substrate has been achieved by reversal nanoimprint technique. The combine effects of the feature size of the mold, particle diameter and imprinting steps control ordering of the colloidal particles. It is shown that using ‘Reversal nanoimprint lithography’, 3D colloidal particles can be selectively patterned on soft (polymer) substrates. Reversal nanoimprint lithography offers a relatively easy, fast and versatile method for patterning of colloidal particles.  相似文献   

7.
This work studies the relation between the residual layer thickness and the patterned area size, fill factor and stamp thickness for identical stamps with opposite polarity (positive and negative) made in silicon and nickel. Important and different variations in the homogeneity of the residual layer are obtained in comparison with the values predicted by the theory. This will help to optimize stamp designs and choose appropriate process setups and parameters for nanoimprint with improved pattern transfer capability.  相似文献   

8.
The periodic arrays of nanostructure were successfully patterned on Si wafers by ultraviolet nanoimprint lithography (UV-NIL) using nanosphere lithography (NSL). Two-dimensional (2D) well ordered self-assembled arrays were obtained on Si wafer by using nanosphere and the tilted-drain method. We tried to combine two techniques and hard mold of Si mold for NIL and polymer mold of acrylate-based polymer were fabricated by NSL. The Si master mold and polymer mold were formed by Cr lift-off and ICP-RIE process. The surface has a low surface energy at the interface with 1H, 1H, 2H, 2H-perfluorooctyl-trichlorosilane (FOTS) vapor-coating, which can eliminate the problem of the adherence to the surface of the mold during demolding. Finally, nanopatterns were formed by UV-NIL, where the residual layer was not observed.  相似文献   

9.
In the UV nanoimprint lithography (UV NIL), it is obvious that the resist curing process is dependent on the UV dose, therefore three states of the resist pattern, i.e., uncuring, undercuring and full-curing may occur due to an inadequate curing control method. Also the demoulding force, which can influence the pattern transferring fidelity, is largely determined by the resist-curing degree. For acquiring high pattern transferring fidelity with small demoulding force, a new demoulding method is proposed in this paper. Through an analysis of the three different pattern transferring results, i.e. rounded-feature replication, fine replication, and scooped-feature replication, which may be induced by the different resist-curing degree and the relative demoulding force, it is found that the resist-curing degree is a critical factor which affects the pattern transferring fidelity. In addition, when the resist-curing degree is too low or too high, either the imprint mould may be stained or the microstructures on the mould can be damaged, which will adversely affect the subsequent imprints in a step-and-repeat process. A new demoulding method is presented, which consists of two UV exposures. The first UV exposure is done before demoulding to under-cure the resist pattern so that the demoulding force can be reduced while maintain a short-time pattern stability. The second UV exposure follows the demoulding to full-cure the resist so as to produce a sufficient rigidity in the pattern. It is experimentally shown that this new demoulding method leads to high pattern fidelity and a small demoulding force while minimizing the mould containments or microstructures damage on it.  相似文献   

10.
This paper proposes a new concept of a RNIL (roller nanoimprint lithography) system. The system does not require the roll stamp that is necessary in the conventional RNIL system, and it easily transfers patterns from a hard stamp to a flexible substrate. Generally, hard stamps such as Si wafers are of a circular shape. While imprinting with a hard stamp using the RNIL system, the pressing force of the press roller in the system varies as the length of the contact line between the circular-type hard stamp and the roller changes. In this study, the contact force profile is presented and is then implemented. Micro- and nano-scale patterns are transferred from Si stamps onto thin and flexible PC (polycarbonate) substrates. Then performance of the system is the evaluated by SEM images.  相似文献   

11.
Co-Pt nanodot arrays of 50 nm in diameter and 100 nm pitch were fabricated by nanoimprint lithography and electrodeposition process. A polymer mold used was replicated from a Si master mold with nanopatterns which were fabricated by EBL and ICP-RIE, where hydrophobic surface of these was achieved by FOTS coating. UV-NIL was successfully performed under pressures of 5 MPa for 5 min with an UV exposure time of 30 s, where the substrate was Ru (30 nm)/NiFe (10 nm)/Ta (5 nm)/Si (1 0 0). The size of patterns was measured at 53 nm in diameter, 25 nm in height, 100 nm in pitch. Finally, Co-Pt nanodot arrays were galvanostatically electrodeposited and characterized. The size and the composition of these arrays were measured to be 50 nm in diameter and 100 nm in pitch and Co-23.6 at.% Pt, respectively. According to MFM analysis, these arrays for the remnant states represent a single domain structure of perpendicular direction with a magnetic field, where a field of 15 kOe was applied perpendicular to the sample plane. These results show that for the Co-Pt dot arrays of 50 nm diameter perpendicular magnetic signal can be recorded and switched.  相似文献   

12.
This work brings forth the idea of incorporating insulation in the resist used for ultraviolet (UV) curing nanoimprint lithography (NIL). Carbon nanotubes (CNTs) are grown in the space between two insulated resist patterns on the conductive substrate to make CNTs arrays. Two imprinting processes, soft UV curing NIL with DRPPR process and novel NIL without cured residual resist, are presented to achieve the insulation patterns. First the fabricating process is performed using a polydimethylsiloxane (PDMS) stamp. Subsequently, inductively coupled plasma (ICP) is essential to wipe off the residual resist film. To avoid the ICP process, a novel UV curing NIL is presented. Its special hard quartz stamp with chrome shelter can protect the residual resist film out of curing during the UV exposure process, and the uncured resist can be easily removed by ultrasonic vibration in organic solutions. The CNT arrays are prepared on the patterned substrates by the pyrolysis of iron phthalocyanine (FePc). Field emission experiments reveal that the turn-on field of those CNTs arrays is low to 1.3 V/um.  相似文献   

13.
Nano-patterned ZnO layer was fabricated by ZnO-sol imprinting with a polymeric mold, followed by annealing. Instead of polymer based imprint resin, ZnO-sol was used as an imprint resin. During the imprinting process, the organic solvent in the ZnO-sol was absorbed into a polymeric mold and thus, ZnO-sol was converted to ZnO-gel. These patterns were subsequently annealed at 650 °C for 1 h in atmospheric ambient to form ZnO patterns. X-ray diffraction (XRD) and photoluminescence (PL) confirmed that ZnO-gel was completely converted into ZnO by annealing. Using this ZnO-sol imprinting method, ZnO nano-patterns, as small as 50 nm, were fabricated on Si and oxidized Si wafer substrates. The ZnO nano-patterns were characterized using scanning electron microscopy (SEM) and Transmission electron microscopy (TEM).  相似文献   

14.
We introduce a simple thermal oxidation technique for decreasing feature sizes of nanoimprint lithography (NIL) masters. During oxidation, the dimensions of negative features are reduced (e.g., gaps become narrower), and the dimensions of positive features increase (e.g., lines become wider). We demonstrate that positive feature sizes can also be reduced after oxidation by selective etching of the oxide. We show that 74 nm gaps can be reduced to 10 nm and 226 nm lines can be narrowed to 55 nm. The reduction in feature size achieved in both positive and negative structures directly translates into increased imprint resolution, and we demonstrate improved resolution in a complete NIL pattern transfer using thermally oxidized NIL masters.  相似文献   

15.
A direct ultraviolet (UV)-assisted nanoimprinting procedure using photosensitive titanium di-n-butoxide bis(2-ethylhexanoate) is employed in this study for the nanopatterning of anatase titanium dioxide (TiO2) structure. Upon annealing at 400 °C for 1 h, the lateral shrinkage and thickness shrinkage of the TiO2 nanostructure were 39.6% and 52.5%, respectively, which indicated an anisotropic volume loss. During UV irradiation and annealing treatment, the refractive index of UV-irradiated TiO2 film is gradually increased by improvement in the packing density and crystallinity of the film. According to increasing UV exposure time and annealing temperature, the optical band gap (Eg) of UV-irradiated TiO2 film is red-shifted from 3.73 to 3.33 eV due to the formation of lattice defects, vacancies and voids during the photochemical reaction and due to the effect of quantum confinement during annealing treatment. These results suggest that the refractive index and optical Eg of TiO2 nanostructure could be controlled by tuning the UV exposure time and annealing treatment conditions. Nanopatterns of TiO2 fabricated by direct UV-assisted nanoimprint lithography are potential candidates for use in protective coatings for optical mirrors and filters, high-reflectivity mirrors, broadband interference filters and active electro-optical devices where ordered surface nanostructures could be necessary.  相似文献   

16.
Nanoimprint lithography (NIL) has been flagged as one of the most promising processes for next generation lithography due to its simplicity, low cost, high replication fidelity and relatively high throughput. As a key subsystem, the ultra-precision positioning substrate stage plays a particularly critical role for NIL machines. This paper summarizes firstly various schemes of substrate stages. Subsequently, the issue of actively controlled stages versus passive compliant stages is to be discussed. Furthermore, based on the investigation for numerous ultra-precision positioning stages and considering the practically functional requirements for the step and flash imprint lithography (SFIL) machine, two preliminary schemes to implement the six-degree-of-freedom active control for SFIL stage with multi-step and multi-level functions were proposed. Finally, some useful conclusions are presented. As a result, the objective of the investigation is to offer some proposals and schemes to develop a practical SFIL stepper and further improving the performance of current SFIL machines.  相似文献   

17.
Polymers with high glass transition temperatures, fluorinated ethylene propylene copolymer (FEP) and poly(ethylene naphthalate) (PEN), have been used in imprint lithography as a protective support layer and as a secondary mould, to imprint superficial structures into a polymer with a lower glass transition temperature, namely poly(methyl methacrylate) (PMMA). As a support layer, FEP replaces fragile silicon based supports for the production of freestanding, structured sheets of PMMA, useful, for example, in biomedical applications where transmittance optical microscopy is required. Secondary PEN moulds, produced by imprinting using silicon-based primary moulds, have been used to transfer sub-micrometer tall structures to a freestanding PMMA sheet. Similarly, hole structures, with different dimensions, have been embossed in both sides of a PMMA sheet simultaneously.  相似文献   

18.
Thanks to their low surface energy, fluorinated anti-sticking layers are widely used in UV nanoimprint lithography (UV-NIL) to treat the mold and facilitate its separation from the imprinted resist. However, it has been reported that release properties of the stamp deteriorate with repeated imprint operations. In this paper, X-ray photoelectron spectroscopy is used to study the mechanism of the fluorinated treatment degradation. A specific experimental protocol is used in order to avoid further degradation under X-ray exposure. It has been observed that a large amount of fluorinated molecules are removed in the first imprint steps and deposited on the surface of the imprinted resist. After this first stage, we observed that fluorinated molecules are progressively degraded along their chain during the NIL process.  相似文献   

19.
We reported the replication of sub-100 nm nanostructures by an ultraviolet (UV) nanoimprint lithography (NIL) technique. We used a novel UV curable epoxy siloxane polymer as the NIL resist to achieve features as small as 50 nm. The polymeric soft molds for the NIL were fabricated by casting toluene diluted poly(dimethyl-siloxane) (PDMS) on the hydrogensilsesquioxane (HSQ) hard mold. The NIL results were characterized by using a scanning electron microscope and an atomic force microscope. Our results illustrate that, with the epoxy siloxane resist, the 50 nm HSQ features on the hard mold can be successfully replicated using PDMS soft molds.  相似文献   

20.
Squeeze flow theory has been used as an effective tool to clarify how and which process conditions determine cavity-filling behavior in nanoimprint lithography (NIL). Conventional squeeze flow models used in NIL research fields have assumed no-slip conditions at the solid-to-liquid boundaries, that is, at the stamp-to-polymer or polymer-to-substrate boundaries. The no-slip assumptions are often violated, however, in micrometer- to nanometer-scale fluid flow. It is therefore necessary to adopt slip or partial slip boundary conditions. In this paper, an analytical mathematical model for the cavity-filling process of NIL that takes into account slip or partial slip boundary conditions is derived using squeeze flow theory. Velocity profiles, pressure distributions, imprinting forces, and evolutions of residual thickness can be predicted using this analytical model. This paper also aims to elucidate how far the slip phenomenon is able to promote the process rate.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号