首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 0 毫秒
1.
海洋 《电子设计应用》2005,(11):136-136,143
不久前,Power.org联盟成员公司及来自亚洲和中东的众多技术研发和制造公司齐聚上海,回顾了联盟成立10个月来的创新成果,并探讨、展望了Power架构生态系统的未来发展。联盟主席、IBM系统与科技事业部技术营销副总裁NigelBeck指出:“所有成员公司将致力于使基于Power架构的系统和  相似文献   

2.
随着IC设计规模以的扩大,设计的复杂程度越来越大,伴随SOC的大量出现,对产品市场化速度的要求也日益加快。在整个IC设计领域中,60%-70%的时间会花费在验证方面,验证成了众多的设计公司最为关注的问题,也是最难解决的问题之一。从设计工程师及验证工程师在设计公司的比例1:1.3,已充分说明了验证在整个设计过程中的重要性。如何提高设计水平,提高设计的品质,缩短设计的开发周期,如何在设计伊始尽可能的保证设计的正确性,是困扰当今每一个设计工程师的首要问题。验证的完整性以及如何缩短验证的时间是每一个设计和验证人员最关心的问题,验证…  相似文献   

3.
Cadence设计系统公司日前发布了面向无线和消费电子系统级芯片(SoC)设计的商用验证锦囊,帮助工程师们采用先进的验证技术,减少风险和应用难度,以满足上市时间要求。新锦囊可以解决工程师在设计和验证SoC设计时面临的关键挑战:  相似文献   

4.
随着微电子产业的发展,SoC系统将是未来IC发展的方向.如何对一个复杂的SoC系统进行验证是开发的关键.本文基于COMIP通信系统平台的开发,对SoC的验证方法进行了一些探讨.  相似文献   

5.
吴天麟 《电子测试》1999,12(2):14-16
为了解释一段著名的引语、电子设备,特别是嵌入式系统的意义,有时会大胆闯入其他设备从未进入过的领域。简言之,这些设备会发现自身已置入各种意想不到的工作环境——其中的某些环境可能连设计工程师也从未考虑过。那么,设计组如何在可能遇到的各种情况下验证新设备的工作呢?为了回答这一问题,最好先理解一下故障范围与使用模型间的依存关系。一种从软件设计演变而来的有潜力的技术是环路内硬件测试,也就是加速设计验证。随着计算和测量技术最  相似文献   

6.
本文对时下流行的验证技术(形式验证、随机、定向、有约束的随机、断言、属性检验)与语言(SystemC、C/C 、SystemVerilog、Open-VERA、E等)进行了全面评述,并分析了在传统的数字ASIC设计流程中应当在何时采用何种验证技术和语言。  相似文献   

7.
SoC是IC设计的发展趋势,而随着SoC的日趋复杂,对系统仿真带来了越来越艰巨的挑战,基于EDA厂商提供的传统仿真环境已经不能充分满足SoC的开发需求,针对此问题提出了基于总线功能模型的仿真加速策略,测试结果表明,提出的技术策略可获得45%的仿真性能提升。  相似文献   

8.
针对FPGA原型验证系统开发的完全可视化技术能够大幅提高ASIC和系统验证工作的效率,Synplicity公司开发的TotalRecall技术就实现了这种突破。该技术采用最新的电路设计与综合方法,充分发挥FPGA原型验证技术的各种优势,如低成本、高速及高效等,从而实现了真正全面的信号可视性。  相似文献   

9.
验证在整个设计流程中一直扮演着重要的角色。高效的验证对于提高产品的质量和缩短设计周期具有重要的意义。但是随着IC设计规模和复杂度的不断增加,如何保证验证的高覆盖率和减少验证的时间,已经成为IC设计的瓶颈。为此需要新的设计方法和EDA软件的支持。在这样一种背景下形式化验证技术显示出了许多优点。本文针对在使用新思公司的Formality工具进行rtl-gate的等价性验证中所遇到的一些问题给出一些解决方案,通过正确的使用这些方法减小了设计者在非设计问题上花费的时间,从而可以使设计者更快地发现设计上的问题。  相似文献   

10.
系统原型验证是把IP提前在原型验证平台上验证通过后再进行芯片设计,它支持在FPGA上进行早期软硬件开发和测试验证,可提高SoC设计首次流片即成功的机率,缩短设计周期。  相似文献   

11.
SoC设计的模拟/混合信号验证   总被引:2,自引:0,他引:2  
由于芯片工艺的几何尺寸越来越小、频率越来越高,传统的验证方法已越来越不适用。本文介绍的NanoSim工具采用高速晶体管模拟引擎、与VCS紧密集成以及对Verilog-A的内置支持,提供了高度灵活的混合信号验证的解决方案,适用于任何设计流程。  相似文献   

12.
C^*SOC——自动化的SoC仿真验证平台   总被引:1,自引:0,他引:1  
SoC(片上系统)是IC设计的发展趋势,仿真与验证是芯片设计中最复杂、最耗时的环节之一,实现仿真与验证自动化是芯片设计研究的重要方向。本文首先分析了在SoC设计中存在的一些困难,提出芯片设计需要SoC设计平台的支持,在分析目前设计平台的基础上,推出一个功能强大、自动化程度高的仿真验证平台——C*SOC。最后总结全文并展望SoC设计验证平台的发展方向。  相似文献   

13.
LVS 版图验证方法的研究   总被引:2,自引:0,他引:2       下载免费PDF全文
LVS是IC Layout设计中一个重要的验证环节,结合实例分析,系统讨论了LVS的工作原理和验证流程。  相似文献   

14.
基于SoC设计的软硬件协同验证技术研究   总被引:1,自引:0,他引:1  
软硬件协同验证是SoC设计的核心技术。介绍了基于SoC设计的软硬件协同验证方法学原理及其验证流程。然后分析了SoC开发中采用的3种软硬件协同验证方案,对其各方面性能做出比较并提出应用建议。  相似文献   

15.
《中国集成电路》2005,(11):86-92
本文介绍了在Riviera-IPT环境中进行基于ARM设计验证所必需的技术背景.主要讨论包括关于嵌入式系统的验证、ARM结构体系的基本描述,最后将介绍为完成基于ARM的嵌入式系统验证所创建的一个Riviera-IPT专用版本.Riviera-IPT除了可以支持ARM7系列CPU外,还可以支持ARM9系列CPU;同样可以支持MIPS系列CPU,存储器以及DPS等.本文以ARM720T为例,介绍Riviera-IPT withARM软硬件协同加速验证系统.  相似文献   

16.
基于C*Core的SoC设计与验证   总被引:1,自引:2,他引:1  
介绍了基于C*Core的SoC及相应的协同验证平台,提出了一种基于C*Core的SoC软硬件协同设计流程及验证方法,具有降低设计风险和缩短产品开发周期的优点,并给出了一个设计实例。  相似文献   

17.
验证复杂的SoC设计要耗费极大的成本和时间。据证实,验证一个设计所需的时间会随着设计大小的增加而成倍增加。在过去的几年中,出现了很多的技术和工具,使验证工程师可以用它们来处理这类问题。但是,这些技术中很多基于动态仿真,并依靠电路操作来发现设计问题,因此设计者仍面临为设计创建激励的问题。  相似文献   

18.
陈默扬  应忍冬  郁文贤 《信息技术》2012,(5):97-101,105
导航SoC的验证依赖于大批量中频数据的测试以及输出信息的统计分析,海量数据的高效传输和处理成为难点之一。文中研究导航SoC验证平台的运行同步、中频数据实时传输以及调试信息存取技术,提出了基于网络协议的平台框架,采用关系型数据库对信息进行组织和管理。通过该平台,能够控制导航SoC的运行,实现数据回放和调试信息分析。平台模块之间采用TCP/UDP协议进行通信,可以拓展到分布式的架构,以适应将来导航芯片的设计验证需求。  相似文献   

19.
利用嵌入式硅IP可以缩短SoC设计所需的开发时间,这已成为众所公认的事实。但要从完工后的整个系统角度出发,整合及验证来自多家厂商的元件,需要相当的时间和努力,然而它们却常被忽略。这会对嵌入式软件开发人员造成额外负担,因为他们需要SoC的外围和接口以及处理器的精确模型,才能  相似文献   

20.
介绍SystemVerilogVMM验证方法学在LCDController验证中的应用,指出它相对于传统Verilog验证方法的1优点,重点研究功能覆盖率的收敛技术,实验比较了多种具体的实现方法。实验结果表明,由于CCT能够收集覆盖信息,形’成闭环负反馈,以控制随机变量的生成,从而在实现快速收敛的目标方面取得了显著的效果。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号