首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 203 毫秒
1.
The CaCu3Ti4O12/SiO2/CaCu3Ti4O12 (CCTO/SiO2/CCTO) multilayered films were prepared on Pt/Ti/SiO2/Si substrates by pulsed laser deposition method. It has been demonstrated that the dielectric loss and the leakage current density were significantly reduced with the increase of the SiO2 layer thickness, accompanied with a decrease of the dielectric constant. The CCTO film with a 20 nm SiO2 layer showed a dielectric loss of 0.065 at 100 kHz and the leakage current density of 6×10−7 A/cm2 at 100 kV/cm, which were much lower than those of the single layer CCTO films. The improvement of the electric properties is ascribed to two reasons: one is the improved crystallinity; the other is the reduced free carriers in the multilayered films.  相似文献   

2.
The electroresistance (ER) of La0.67Ca0.33MnO3 (LCMO) epitaxial thin films with different thicknesses was studied. For the 110 nm thick LCMO film, its ER shows a maximum at Tp, where the resistance shows a peak, and decreases to zero at lower temperatures. While for the 30 nm thick LCMO film, its ER is remarkable in a wide temperature range. Another interesting observation in this work is that the electric current can tune the magnetoresistance of the ultrathin LCMO thin film. The results were discussed by considering the coexistence of ferromagnetic metallic phase with the charge ordered phase, and the variation of the phase separation with film thickness and electric current. This work also demonstrates that electric current can tune the magnetoresistance of the manganites, which is helpful for their applications.  相似文献   

3.
Sandwich-structure Al2O3/HfO2/Al2O3 gate dielectric films were grown on ultra-thin silicon-on-insulator (SOI) substrates by vacuum electron beam evaporation (EB-PVD) method. AFM and TEM observations showed that the films remained amorphous even after post-annealing treatment at 950 °C with smooth surface and clean silicon interface. EDX- and XPS-analysis results revealed no silicate or silicide at the silicon interface. The equivalent oxide thickness was 3 nm and the dielectric constant was around 7.2, as determined by electrical measurements. A fixed charge density of 3 × 1010 cm−2 and a leakage current of 5 × 10−7A/cm2 at 2 V gate bias were achieved for Au/gate stack /Si/SiO2/Si/Au MIS capacitors. Post-annealing treatment was found to effectively reduce trap density, but increase in annealing temperature did not made any significant difference in the electrical performance.  相似文献   

4.
A novel and effective process to fabricate high quality fluoride thin films was presented. Aluminum fluoride films deposited by a conventional thermal evaporation with an ion-assisted deposition (IAD) using SF6 as a working gas at around room temperature were investigated. In this study, the optimal voltage and current, 50 V and 0.25 A, were found according to the optical properties of the films: high refractive index (1.489 at 193 nm), low optical absorption and extinction coefficient (<10−4 at 193 nm) in the UV range. The physical properties of the film are high packing density and amorphous without columnar structure. It was proved that using SF6 working gas in IAD process is a good choice and significantly improves the quality of AlF3 films.  相似文献   

5.
L. Shi 《Applied Surface Science》2007,253(7):3731-3735
As a potential gate dielectric material, the La2O3 doped SiO2 (LSO, the mole ratio is about 1:5) films were fabricated on n-Si (0 0 1) substrates by using pulsed laser deposition technique. By virtue of several measurements, the microstructure and electrical properties of the LSO films were characterized. The LSO films keep the amorphous state up to a high annealing temperature of 800 °C. From HRTEM and XPS results, these La atoms of the LSO films do not react with silicon substrate to form any La-compound at interfacial layer. However, these O atoms of the LSO films diffuse from the film toward the silicon substrate so as to form a SiO2 interfacial layer. The thickness of SiO2 layer is only about two atomic layers. A possible explanation for interfacial reaction has been proposed. The scanning electron microscope image shows the surface of the amorphous LSO film very flat. The LSO film shows a dielectric constant of 12.8 at 1 MHz. For the LSO film with thickness of 3 nm, a small equivalent oxide thickness of 1.2 nm is obtained. The leakage current density of the LSO film is 1.54 × 10−4 A/cm2 at a gate bias voltage of 1 V.  相似文献   

6.
Metal-insulator-metal (MIM) capacitors were fabricated using ZrO2 films and the effects of structural and native defects of the ZrO2 films on the electrical and dielectric properties were investigated. For preparing ZrO2 films, Zr films were deposited on Pt/Si substrates by ion beam deposition (IBD) system with/without substrate bias voltages and oxidized at 200 °C for 60 min under 0.1 MPa O2 atmosphere with/without UV light irradiation (λ = 193 nm, Deep UV lamp). The ZrO2(∼12 nm) films on Pt(∼100 nm)/Si were characterized by X-ray diffraction pattern (XRD), field emission scanning electron microscopy (FE-SEM) and high-resolution transmission electron microscopy (HRTEM), capacitance-voltage (C-V) and current-voltage (I-V) measurements were carried out on MIM structures. ZrO2 films, fabricated by oxidizing the Zr film deposited with substrate bias voltage under UV light irradiation, show the highest capacitance (784 pF) and the lowest leakage current density. The active oxygen species formed by UV irradiation are considered to play an important role in the reduction of the leakage current density, because they can reduce the density of oxygen vacancies.  相似文献   

7.
Pb(Zr0.53Ti0.47)O3 (PZT) thin films with different thicknesses (99-420 nm) were prepared on Pt(1 1 1)/Ti/SiO2/Si(1 0 0) substrates by sol-gel method and films were annealed at 450 °C for 30 min using a single-mode cavity of 2.45 GHz microwaves. X-ray diffraction analysis indicated that the pyrochlore phase was transformed to the perovskite phase at above 166 nm films. The grain sizes were increased, surface roughnesses were decreased, and electrical properties were improved with film thickness. The leakage current density was 9 × 10−8 A/cm2 at an applied electrical field of 100 kV/cm. The ohmic and field-enhanced Schottky emission mechanisms were used to explain leakage current behavior of the PZT thin films. These results suggest that microwave annealing is effective for obtaining low temperature crystallization of thin films with better properties.  相似文献   

8.
Herein, a discussion of the effect of deposition temperature on the magnetic behavior of Ni0.5Zn0.5Fe2O4 thin films. The thin films were grown by r.f. sputtering technique on (1 0 0) MgO single-crystal substrates at deposition temperatures ranging between 400 and 800 °C. The grain boundary microstructure was analyzed via atomic force microscopy (AFM). AFM images show that grain size (φ∼70-112 nm) increases with increasing deposition temperature, according to a diffusion growth model. From magneto-optical Kerr effect (MOKE) measurements at room temperature, coercive fields, Hc, between 37and 131 Oe were measured. The coercive field, Hc, as a function of grain size, reaches a maximum value of 131 Oe for φ ∼93 nm, while the relative saturation magnetization exhibits a minimum value at this grain size. The behaviors observed were interpreted as the existence of a critical size for the transition from single- to multi-domain regime. The saturation magnetization (21 emu/g<Ms<60 emu/g) was employed to quantify the critical magnetic intergranular correlation length (Lc≈166 nm), where a single-grain to coupled-grain behavior transition occurs. Experimental hysteresis loops were fitted by the Jiles-Atherton model (JAM). The value of the k-parameter of the JAM fitted by means of this model (k/μo∼50 A m2) was correlated to the domain size from the behavior of k, we observed a maximum in the density of defects for the sample with φ∼93 nm.  相似文献   

9.
Physical and electrical properties of sputtered deposited Y2O3 films on NH4OH treated n-GaAs substrate are investigated. The as-deposited films and interfacial layer formation have been analyzed by using X-ray photoelectron spectroscopy (XPS) and secondary ion mass spectroscopy (SIMS). It is found that directly deposited Y2O3 on n-GaAs exhibits excellent electrical properties with low frequency dispersion (<5%), hysteresis voltage (0.24 V), and interface trap density (3 × 1012 eV−1 cm−2). The results show that the deposition of Y2O3 on n-GaAs can be an effective way to improve the interface quality by the suppression on native oxides formation, especially arsenic oxide which causes Fermi level pinning at high-k/GaAs interface. The Al/Y2O3/n-GaAs stack with an equivalent oxide thickness (EOT) of 2.1 nm shows a leakage current density of 3.6 × 10−6 A cm−2 at a VFB of 1 V. While the low-field leakage current conduction mechanism has been found to be dominated by the Schottky emission, Poole-Frenkel emission takes over at high electric fields. The energy band alignment of Y2O3 films on n-GaAs substrate is extracted from detailed XPS measurements. The valence and conduction band offsets at Y2O3/n-GaAs interfaces are found to be 2.14 and 2.21 eV, respectively.  相似文献   

10.
We have developed low temperature formation methods of SiO2/Si and SiO2/SiC structures by use of nitric acid, i.e., nitric acid oxidation of Si (or SiC) (NAOS) methods. By use of the azeotropic NAOS method (i.e., immersion in 68 wt% HNO3 aqueous solutions at 120 °C), an ultrathin (i.e., 1.3-1.4 nm) SiO2 layer with a low leakage current density can be formed on Si. The leakage current density can be further decreased by post-metallization anneal (PMA) at 200 °C in hydrogen atmosphere, and consequently the leakage current density at the gate bias voltage of 1 V becomes 1/4-1/20 of that of an ultrathin (i.e., 1.5 nm) thermal oxide layer usually formed at temperatures between 800 and 900 °C. The low leakage current density is attributable to (i) low interface state density, (ii) low SiO2 gap-state density, and (iii) high band discontinuity energy at the SiO2/Si interface arising from the high atomic density of the NAOS SiO2 layer.For the formation of a relatively thick (i.e., ≥10 nm) SiO2 layer, we have developed the two-step NAOS method in which the initial and subsequent oxidation is performed by immersion in ∼40 wt% HNO3 and azeotropic HNO3 aqueous solutions, respectively. In this case, the SiO2 formation rate does not depend on the Si surface orientation. Using the two-step NAOS method, a uniform thickness SiO2 layer can be formed even on the rough surface of poly-crystalline Si thin films. The atomic density of the two-step NAOS SiO2 layer is slightly higher than that for thermal oxide. When PMA at 250 °C in hydrogen is performed on the two-step NAOS SiO2 layer, the current-voltage and capacitance-voltage characteristics become as good as those for thermal oxide formed at 900 °C.A relatively thick (i.e., ≥10 nm) SiO2 layer can also be formed on SiC at 120 °C by use of the two-step NAOS method. With no treatment before the NAOS method, the leakage current density is very high, but by heat treatment at 400 °C in pure hydrogen, the leakage current density is decreased by approximately seven orders of magnitude. The hydrogen treatment greatly smoothens the SiC surface, and the subsequent NAOS method results in the formation of an atomically smooth SiO2/SiC interface and a uniform thickness SiO2.  相似文献   

11.
BaZr0.1Ti0.9O3 and BaZr0.2Ti0.8O3 (BZT) thin films were deposited on Pt/Ti/LaAlO3 (1 0 0) substrates by radio-frequency magnetron sputtering, respectively. The films were further annealed at 800 °C for 30 min in oxygen. X-ray diffraction θ-2θ and Φ-scans showed that BaZr0.1Ti0.9O3 films displayed a highly (h 0 0) preferred orientation and a good cube-on-cube epitaxial growth on the LaAlO3 (1 0 0) substrate, while there are no obvious preferential orientation in BaZr0.2Ti0.8O3 thin films. The BaZr0.1Ti0.9O3 films possess larger grain size, higher dielectric constant, larger tunability, larger remanent polarization and coercive electric field than that of BaZr0.2Ti0.8O3 films. Whereas, BaZr0.1Ti0.9O3 films have larger dielectric losses and leakage current density. The results suggest that Zr4+ ion can decrease dielectric constant and restrain non-linearity. Moreover, the enhancement in dielectric properties of BaZr0.1Ti0.9O3 films may be attributed to (1 0 0) preferred orientation.  相似文献   

12.
We have developed the advanced nitric acid oxidation of Si (NAOS) method to form relatively thick (5-10 nm) SiO2/Si structure with good electrical characteristics. This method simply involves immersion of Si in 68 wt% nitric acid aqueous solutions at 120 °C with polysilazane films. Fourier transform infrared absorption (FT-IR) measurements show that the atomic density of the NAOS SiO2 layer is considerably high even without post-oxidation anneal (POA), i.e., 2.28 × 1022 atoms/cm2, and it increases by POA at 400 °C in wet-oxygen (2.32 × 1022 atoms/cm2) or dry-oxygen (2.30 × 1022 atoms/cm2). The leakage current density is considerably low (e.g., 10−5 A/cm2 at 8 MV/cm) and it is greatly decreased (10−8 A/cm2 at 8 MV/cm) by POA at 400 °C in wet-oxygen. POA in wet-oxygen increases the atomic density of the SiO2 layer, and decreases the density of oxide fixed positive charges.  相似文献   

13.
Metallo-organic decomposition derived dielectric thin films of calcium zirconate doped with various concentrations of strontium ((Ca, Sr)ZrO3) were prepared on Pt coated silicon substrate. Mainly in this paper, we present the investigations of their structural developments and present their electric and dielectric properties as well. The structural developments show that the CaZrO3 film has amorphous structure with carbonate existing when annealed at 600 °C, while annealed at 650 °C and above, the carbonate is decomposed and those films crystallize into perovskite phase without preferred orientation. In addition, the prepared (Ca, Sr)ZrO3 films with their Zr-O bonds affected by strontium doping are homogenous and stable as solid solutions in any concentration of strontium and all Bragg diffraction characteristics for the films shift downward with the increase in the concentration of strontium. Moreover, the electric properties show that the (Ca, Sr)ZrO3 films have very low leakage current density and high breakdown strength; typically, the CaZrO3 film annealed at 650 °C has the leakage current density approximately 9.5 × 10−8 A cm−2 in the field strength of 2.6 MV cm−1. Furthermore, the dielectric properties show that their dielectric constants are higher than 12.8 with very little dispersion in the frequency range from 100 Hz to 1 MHz and are independent of applied dc bias as well. The dielectric properties, in combination with the electric properties, make the materials promising candidates for high-voltage and high-reliability capacitor applications.  相似文献   

14.
The Ag/Tl2Ba2Ca2Cu3O10/CdSe heterostructure was fabricated at room temperature by soft electrochemical processing technique for the first time. The formation of the heterostructure with non-diffusive interfaces was confirmed by X-ray diffraction. The crystallite sizes determined for Tl-2223 and CdSe films were 33 nm and 25 nm, respectively. The Tl2Ba2Ca2Cu3O10 film electrodeposited onto Ag-substrate has shown the superconducting transition temperature Tc at 116.5 K and Jc = 2.1 × 103 A/cm2. These values were found to improve after the deposition of CdSe onto Ag/Tl-2223 films. The effect of red He-Ne laser irradiation on the superconducting properties of heterostructure are studied and discussed at length in this paper.  相似文献   

15.
Sc2O3-W matrix cathodes have been prepared by using a liquid-liquid doping method combined with high-temperature sintering. The microstructure and physical behavior of active substances of scandia-doped tungsten matrix and impregnated cathode has been studied by SEM and AES methods. The results show that the matrix has a homogeneous structure composed of W grains with spherical shape and superfine Sc2O3 particles dispersed uniformly over and among W grains. After impregnation, this Sc-type impregnated cathode has high emission capability. Space-charge-limited current density could reach 52 A/cm2 at 850 °Cb. The high emission results from a Ba-Sc-O active layer with a thickness of about 80 nm, which is formed at the cathode surface during the activation period. Both the decrease of the thickness of active surface layer and the decrease of the content of Sc at the surface could lead to the degradation of current density during operation.  相似文献   

16.
Growth of Ru- and RuO2-composite (ROC) nanodots on atomic-layer-deposited Al2O3 film has been studied for the first time using ion-beam sputtering followed by post-deposition annealing (PDA). X-ray photoelectron spectroscopy analyses reveal that RuO2 and Ru co-exist before annealing, and around 10% RuO2 is reduced to metallic Ru after PDA at 900 °C for 15 s. Scanning electron microscopy measurements show that well-defined spherical ROC nanodots are not formed till the PDA temperature is raised to 900 °C. The mean diameter of the nanodots enlarges with increasing PDA temperature whereas the nanodot density decreases, which is attributed to coalescence process between adjacent nanodots. It is further illustrated that the resulting nanodot size and density are weakly dependent on the annealing time, but are markedly influenced by the decomposition of RuO2. In this article, the ROC nanodots with a high density of 1.6 × 1011 cm−2, a mean diameter of 20 nm with a standard deviation of 3.0 nm have been achieved for the PDA at 900 °C for 15 s, which is promising for flash memory application.  相似文献   

17.
Amorphous Lu2O3 high-k gate dielectrics were grown directly on n-type (100) Si substrates by the pulsed laser deposition (PLD) technique. High-resolution transmission electron microscope (HRTEM) observation illustrated that the Lu2O3 film has amorphous structure and the interface with Si substrate is free from amorphous SiO2. An equivalent oxide thickness (EOT) of 1.1 nm with a leakage current density of 2.6×10−5 A/cm2 at 1 V accumulation bias was obtained for 4.5 nm thick Lu2O3 thin film deposited at room temperature followed by post-deposition anneal (PDA) at 600 °C in oxygen ambient. The effects of PDA process and light illumination were studied by capacitance-voltage (C-V) and current density-voltage (J-V) measurements. It was proposed that the net fixed charge density and leakage current density could be altered significantly depending on the post-annealing conditions and the capability of traps to trap and release charges.  相似文献   

18.
La-substituted BiFeO3, Bi0.8La0.2FeO3, thin films were fabricated on Pt/Ti/SiO2/Si substrates by pulsed laser deposition. X-ray diffraction and high-resolution transmission electron microscope were used to analyze the structures of the films. The results show the films fabricated under optimized growth condition are (0 1 2) textured. X-ray photoemission spectroscopy results indicate that the oxidation state of Fe ion is Fe3+ in the films without detectable Fe2+. The films show low leakage current and excellent dielectric characters. Multiferroic properties with a remnant ferroelectric polarization of 5.2 μC/cm2 and a remanent magnetization of 0.02 μB/Fe were established. These results have some implications for further research.  相似文献   

19.
K0.5Bi0.5TiO3 thin films were deposited on fused quartz, n-type Si(100) and Pt/TiO2/SiO2/Si substrates by repeated coating/dying cycles. X-ray diffraction analysis shows that the films annealed at 700 °C for 10 min present perovskite phase. Atomic force microscopy reveals that the surface morphology is smooth, the grain sizes of the films on Si(100) are quite larger than on fused quartz. The capacitance-voltage hysteresis loops at various sweeping speed are collected as are polarization types. The films in the ON and OFF states are relatively stable. The films also exhibit a hysteresis loop at an applied voltage of 4 V, with a remanent polarization of 9.3 μC/cm2 and a coercive voltage of 2 V. The insulating property of negative bias voltage is better than that of positive bias voltage. The transmittance of the films is between 74 and 82% in the wavelength range of 200-2000 nm.  相似文献   

20.
We have fabricated exchange-biased Co/Pt layers ((0.3 nm/1.5 nm)×3) on (0 0 1)-oriented Cr2O3 thin films. The multilayered films showed extremely smooth surfaces and interfaces with root mean square roughness of ≈0.3 nm for 10 μm×10 μm area. The Cr2O3 films display sufficient insulation with a relative low leakage current (1.17×10−2 A/cm2 at 380 MV/m) at room temperature which allowed us to apply electric field as high as 77 MV/m. We find that the sign of the exchange bias and the shape of the hysteresis loops of the out-of-plane magnetized Co/Pt layers can be delicately controlled by adjusting the magnetic field cooling process through the Néel temperature of Cr2O3. No clear evidence of the effect of electric field and the electric field cooling was detected on the exchange bias for fields as high as 77 MV/m. We place the upper bound of the shift in exchange bias field due to electric field cooling to be 5 Oe at 250 K.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号